summaryrefslogtreecommitdiffstats
path: root/test_rrobin_problem/simulation
diff options
context:
space:
mode:
Diffstat (limited to 'test_rrobin_problem/simulation')
-rw-r--r--test_rrobin_problem/simulation/Makefile55
-rw-r--r--test_rrobin_problem/simulation/run.do71
-rw-r--r--test_rrobin_problem/simulation/vhdl_files.txt22
-rw-r--r--test_rrobin_problem/simulation/wave.do27
4 files changed, 0 insertions, 175 deletions
diff --git a/test_rrobin_problem/simulation/Makefile b/test_rrobin_problem/simulation/Makefile
deleted file mode 100644
index 9ed290d..0000000
--- a/test_rrobin_problem/simulation/Makefile
+++ /dev/null
@@ -1,55 +0,0 @@
-#
-# $HeadURL: https://svn.fzd.de/repo/concast/FWF_Projects/FWKE/beam_position_monitor/hardware/board_sp605/simulation/Makefile $
-# $Date$
-# $Author$
-# $Revision$
-#
-
-library = test_rrobin
-top = top_tb
-
-software_dir = ../software
-
-
-# http://sourceforge.net/projects/vmk/
-VMK = vmk
-
-# generate list of used libs
-library_list = $(shell cut --field 1 --delimiter=" " --only-delimited vhdl_files.txt | grep --invert "\#" | sort --unique)
-
-
-all: compile simulate
-
-
-software:
- test ! -d $(software_dir) || make --directory $(software_dir) | ccze -A
-
-compile: Makefile.msim
- export ANAFLAGS="-quiet -2008"; \
- make -f Makefile.msim | ccze -A
-
-
-simulate:
- export top=$(top); \
- vsim -quiet -gui $(library).$(top) -do run.do -l transcript.log
-
-
-clean:
- @# modelsim stuff
- rm -f transcript.log
- rm -f *.wlf
- rm -f wlf*
- @# vmk stuff
- -make -f Makefile.msim clean
- rm -f Makefile.msim
- rm -rf $(library_list)
-
-
-# generate Makefile.msim with vmk
-Makefile.msim: software vhdl_files.txt $(library_list)
- $(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
-
-$(library_list):
- vlib $@
-
-
diff --git a/test_rrobin_problem/simulation/run.do b/test_rrobin_problem/simulation/run.do
deleted file mode 100644
index 36d1969..0000000
--- a/test_rrobin_problem/simulation/run.do
+++ /dev/null
@@ -1,71 +0,0 @@
-
-#
-# helper functions
-#
-
-
-# neues Spiel, neues Glueck
-proc nsng {} {
-
- restart -f
- global StdArithNoWarnings
- global NumericStdNoWarnings
- set StdArithNoWarnings 1
- set NumericStdNoWarnings 1
-
- when -label enable_Warn {reset == '0'} {echo "Enable Warnings" ; set StdArithNoWarnings 0 ; set NumericStdNoWarnings 0 ;}
-
- run -all
-}
-
-
-proc r {} {
- restart -f
- run -all
-}
-
-
-# restart with clear
-proc rc {} {
- .main clear
- r
-}
-
-
-
-proc my_debug {} {
- global env
- foreach key [array names env] {
- puts "$key=$env($key)"
- }
-}
-
-
-proc e {} {
- exit -force
-}
-
-proc x {} {
- exit -force
-}
-
-
-
-
-# get env variables
-global env
-quietly set top $env(top)
-
-
-if {[file exists wave_$top.do]} {
- do wave_$top.do
-} else {
- if {[file exists wave.do]} {
- do wave.do
- } else {
- puts "INFO: no wave file found."
- }
-}
-
-
-run -all
diff --git a/test_rrobin_problem/simulation/vhdl_files.txt b/test_rrobin_problem/simulation/vhdl_files.txt
deleted file mode 100644
index d2f9c6f..0000000
--- a/test_rrobin_problem/simulation/vhdl_files.txt
+++ /dev/null
@@ -1,22 +0,0 @@
-test_rrobin ../rtl/led_control_ahb.vhd
-test_rrobin ../rtl/box.vhd
-test_rrobin ../rtl/top.vhd
-test_rrobin ../rtl_tb/top_tb.vhd
-hzdr ../../hzdr/rtl/devices_hzdr.vhd
-grlib ../../grlib/rtl/ahbctrl.vhd
-grlib ../../grlib/rtl/amba.vhd
-grlib ../../grlib/rtl/apbctrl.vhd
-grlib ../../grlib/rtl/devices.vhd
-grlib ../../grlib/rtl/config.vhd
-grlib ../../grlib/rtl/util.vhd
-grlib ../../grlib/rtl/testlib.vhd
-grlib ../../grlib/rtl/stdlib.vhd
-grlib ../../grlib/rtl/version.vhd
-grlib ../../grlib/rtl_tb/stdio.vhd
-techmap ../../techmap/rtl/gencomp.vhd
-gaisler ../../gaisler/rtl/apbuart.vhd
-gaisler ../../gaisler/rtl/grgpio.vhd
-gaisler ../../gaisler/rtl/grgpreg.vhd
-gaisler ../../gaisler/rtl/misc.vhd
-gaisler ../../gaisler/rtl/net.vhd
-gaisler ../../gaisler/rtl/uart.vhd
diff --git a/test_rrobin_problem/simulation/wave.do b/test_rrobin_problem/simulation/wave.do
deleted file mode 100644
index 7ba2647..0000000
--- a/test_rrobin_problem/simulation/wave.do
+++ /dev/null
@@ -1,27 +0,0 @@
-onerror {resume}
-quietly WaveActivateNextPane {} 0
-add wave -noupdate /top_tb/top_i0/box_i0/clk
-add wave -noupdate /top_tb/top_i0/box_i0/ahbctrl_i0/msto(0).hbusreq
-add wave -noupdate /top_tb/top_i0/box_i0/ahbctrl_i0/msto(1).hbusreq
-add wave -noupdate -divider ahbctrl
-add wave -noupdate -expand /top_tb/top_i0/box_i0/ahbctrl_i0/msti.hgrant
-add wave -noupdate /top_tb/top_i0/box_i0/ahbctrl_i0/msti.hready
-add wave -noupdate -divider gpio
-add wave -noupdate -expand /top_tb/top_i0/gpio_led
-TreeUpdate [SetDefaultTree]
-WaveRestoreCursors {{Cursor 1} {64180831 ps} 0}
-configure wave -namecolwidth 150
-configure wave -valuecolwidth 100
-configure wave -justifyvalue left
-configure wave -signalnamewidth 1
-configure wave -snapdistance 10
-configure wave -datasetprefix 0
-configure wave -rowmargin 4
-configure wave -childrowmargin 2
-configure wave -gridoffset 0
-configure wave -gridperiod 1
-configure wave -griddelta 40
-configure wave -timeline 0
-configure wave -timelineunits ns
-update
-WaveRestoreZoom {0 ps} {1840927 ps}
OpenPOWER on IntegriCloud