summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/zpu_config_fastsim.vhd
blob: d39c9e90bd8245fd5544ff2b4210c68dec624a0f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

package zpu_config is

	constant	Generate_Trace		: boolean := false;
	constant wordPower			: integer := 5;
	-- during simulation, set this to '0' to get matching trace.txt 
	constant	DontCareValue		: std_logic := '0';
	-- Clock frequency in MHz.
	constant	ZPU_Frequency		: std_logic_vector(7 downto 0) := x"50"; -- 80MHz
	constant 	maxAddrBitIncIO		: integer := 15;
		
end zpu_config;
OpenPOWER on IntegriCloud