summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/zpu_config_trace.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src/zpu_config_trace.vhd')
-rw-r--r--zpu/hdl/zpu4/src/zpu_config_trace.vhd15
1 files changed, 15 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/src/zpu_config_trace.vhd b/zpu/hdl/zpu4/src/zpu_config_trace.vhd
new file mode 100644
index 0000000..d1bbbbb
--- /dev/null
+++ b/zpu/hdl/zpu4/src/zpu_config_trace.vhd
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_unsigned.all;
+
+package zpu_config is
+
+ constant Generate_Trace : boolean := true;
+ constant wordPower : integer := 5;
+ -- during simulation, set this to '0' to get matching trace.txt
+ constant DontCareValue : std_logic := '0';
+ -- Clock frequency in MHz.
+ constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"64";
+ constant maxAddrBitIncIO : integer := 15;
+
+end zpu_config;
OpenPOWER on IntegriCloud