summaryrefslogtreecommitdiffstats
path: root/zpu
diff options
context:
space:
mode:
authorBert Lange <b.lange@fzd.de>2011-06-28 12:50:25 +0200
committerBert Lange <b.lange@fzd.de>2011-06-28 12:50:25 +0200
commit64d0e457af64a76a04e37469f7aa43acbbe7e77a (patch)
tree6adc8a920aa53d07f9e8733618b93a525ddd027f /zpu
parentb947b894203d1ce6db22fe9c3d0f5c18468aff9e (diff)
downloadzpu-64d0e457af64a76a04e37469f7aa43acbbe7e77a.zip
zpu-64d0e457af64a76a04e37469f7aa43acbbe7e77a.tar.gz
change: switch to vhdl 2008 syntax
Diffstat (limited to 'zpu')
-rw-r--r--zpu/rtl_tb/txt_util.vhd16
1 files changed, 8 insertions, 8 deletions
diff --git a/zpu/rtl_tb/txt_util.vhd b/zpu/rtl_tb/txt_util.vhd
index a863f65..c127b24 100644
--- a/zpu/rtl_tb/txt_util.vhd
+++ b/zpu/rtl_tb/txt_util.vhd
@@ -70,7 +70,7 @@ package txt_util is
function str(int: integer) return string;
-- convert std_logic_vector into a string in hex format
- function hstr(slv: std_logic_vector) return string;
+-- function hstr(slv: std_logic_vector) return string;
function hstr(slv: std_ulogic_vector) return string;
@@ -313,11 +313,11 @@ package body txt_util is
-- converts a std_logic_vector into a hex string.
- function hstr(slv: std_logic_vector) return string is
+ function hstr(slv: std_ulogic_vector) return string is
variable hexlen: integer;
- variable longslv : std_logic_vector(67 downto 0) := (others => '0');
+ variable longslv : std_ulogic_vector(67 downto 0) := (others => '0');
variable hex : string(1 to 16);
- variable fourbit : std_logic_vector(3 downto 0);
+ variable fourbit : std_ulogic_vector(3 downto 0);
begin
hexlen := (slv'left+1)/4;
if (slv'left+1) mod 4 /= 0 then
@@ -352,10 +352,10 @@ package body txt_util is
return hex(1 to hexlen);
end hstr;
- function hstr(slv: std_ulogic_vector) return string is
- begin
- return( hstr( std_logic_vector( slv)));
- end hstr;
+-- function hstr(slv: std_ulogic_vector) return string is
+-- begin
+-- return( hstr( std_logic_vector( slv)));
+-- end hstr;
OpenPOWER on IntegriCloud