summaryrefslogtreecommitdiffstats
path: root/mig_test/vhdl_files.txt
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2014-07-17 16:16:30 +0200
committerBert Lange <b.lange@hzdr.de>2014-07-17 16:16:30 +0200
commitf598b91ba530b07ee8230b20c7d9be8e5316b05d (patch)
tree3d90a071f433f59a8a229229fd5c6c60f7a9dc07 /mig_test/vhdl_files.txt
parentdd251b94172f40679ccf1aaa91086f4107e8b31b (diff)
downloadzpu-f598b91ba530b07ee8230b20c7d9be8e5316b05d.zip
zpu-f598b91ba530b07ee8230b20c7d9be8e5316b05d.tar.gz
initial release of mig_test
Diffstat (limited to 'mig_test/vhdl_files.txt')
-rw-r--r--mig_test/vhdl_files.txt2
1 files changed, 2 insertions, 0 deletions
diff --git a/mig_test/vhdl_files.txt b/mig_test/vhdl_files.txt
new file mode 100644
index 0000000..c19a027
--- /dev/null
+++ b/mig_test/vhdl_files.txt
@@ -0,0 +1,2 @@
+work rtl/top.vhd
+work rtl_tb/top_tb.vhd
OpenPOWER on IntegriCloud