summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/zpu_core.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src/zpu_core.vhd')
-rw-r--r--zpu/hdl/zpu4/src/zpu_core.vhd4
1 files changed, 1 insertions, 3 deletions
diff --git a/zpu/hdl/zpu4/src/zpu_core.vhd b/zpu/hdl/zpu4/src/zpu_core.vhd
index c7093e2..a603fe9 100644
--- a/zpu/hdl/zpu4/src/zpu_core.vhd
+++ b/zpu/hdl/zpu4/src/zpu_core.vhd
@@ -210,9 +210,7 @@ begin
if areset = '1' then
state <= State_Idle;
break <= '0';
- -- point to top of RAM-8
- sp <= (others => '0');
- sp(maxAddrBit downto minAddrBit+1) <= (others => '1');
+ sp <= spStart(maxAddrBitIncIO downto minAddrBit);
pc <= (others => '0');
idim_flag <= '0';
OpenPOWER on IntegriCloud