summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zealot/devices/timer.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zealot/devices/timer.vhdl')
-rw-r--r--zpu/hdl/zealot/devices/timer.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/zealot/devices/timer.vhdl b/zpu/hdl/zealot/devices/timer.vhdl
index f485e4d..389868c 100644
--- a/zpu/hdl/zealot/devices/timer.vhdl
+++ b/zpu/hdl/zealot/devices/timer.vhdl
@@ -85,7 +85,7 @@ begin
end if; -- rising_edge(clk_i)
end process do_timer;
- data_o <= cnt_smp(31 downto 0) when addr_i="0" else
+ data_o <= cnt_smp(31 downto 0) when to_01(addr_i)="0" else
cnt_smp(63 downto 32);
end architecture Behave; -- Entity: Timer
OpenPOWER on IntegriCloud