summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/example_medium
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/example_medium')
-rw-r--r--zpu/hdl/example_medium/sim_fpga_top.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/example_medium/sim_fpga_top.vhd b/zpu/hdl/example_medium/sim_fpga_top.vhd
index a10da37..a0819de 100644
--- a/zpu/hdl/example_medium/sim_fpga_top.vhd
+++ b/zpu/hdl/example_medium/sim_fpga_top.vhd
@@ -96,7 +96,7 @@ signal break : std_logic;
begin
zpu: zpu_core port map (
clk => clk ,
- areset => areset,
+ reset => areset,
enable => enable,
in_mem_busy => mem_busy,
mem_read => mem_read,
OpenPOWER on IntegriCloud