summaryrefslogtreecommitdiffstats
path: root/test_rrobin_problem/rtl/led_control_ahb.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'test_rrobin_problem/rtl/led_control_ahb.vhd')
-rw-r--r--test_rrobin_problem/rtl/led_control_ahb.vhd10
1 files changed, 6 insertions, 4 deletions
diff --git a/test_rrobin_problem/rtl/led_control_ahb.vhd b/test_rrobin_problem/rtl/led_control_ahb.vhd
index 4229af3..896811d 100644
--- a/test_rrobin_problem/rtl/led_control_ahb.vhd
+++ b/test_rrobin_problem/rtl/led_control_ahb.vhd
@@ -1,3 +1,8 @@
+--------------------------------------------------------------------------------
+-- $Date$
+-- $Author$
+-- $Revision$
+--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
@@ -7,10 +12,6 @@ use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
---pragma translate_off
---use std.textio.all;
---pragma translate_on
-
entity led_control_ahb is
generic(
@@ -27,6 +28,7 @@ entity led_control_ahb is
);
end entity led_control_ahb;
+
architecture rtl of led_control_ahb is
constant revision_c : integer := 0;
OpenPOWER on IntegriCloud