summaryrefslogtreecommitdiffstats
path: root/hw_sp605/bsp/top_tb.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'hw_sp605/bsp/top_tb.vhd')
-rw-r--r--hw_sp605/bsp/top_tb.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/hw_sp605/bsp/top_tb.vhd b/hw_sp605/bsp/top_tb.vhd
index d1935ce..5883324 100644
--- a/hw_sp605/bsp/top_tb.vhd
+++ b/hw_sp605/bsp/top_tb.vhd
@@ -521,8 +521,8 @@ begin
--
-- 27 MHz, oscillator socket
user_clock => tb_user_clock, --: in std_logic;
- user_sma_clock_p => tb_user_sma_clock_p, --: in std_logic;
- user_sma_clock_n => tb_user_sma_clock_n, --: in std_logic;
+ user_sma_clock_p => tb_user_sma_clock_p, --: inout std_logic;
+ user_sma_clock_n => tb_user_sma_clock_n, --: inout std_logic;
--
user_sma_gpio_p => tb_user_sma_gpio_p, --: inout std_logic;
user_sma_gpio_n => tb_user_sma_gpio_n --: inout std_logic
OpenPOWER on IntegriCloud