summaryrefslogtreecommitdiffstats
path: root/zpu
diff options
context:
space:
mode:
authorBert Lange <b.lange@fzd.de>2011-01-07 14:48:37 +0100
committerBert Lange <b.lange@fzd.de>2011-01-07 14:48:37 +0100
commit6bd8ad40964695835f0775526eb40ab548ad1faf (patch)
tree4fef3e7f485d097562fefa0c87ecacd2737ee81d /zpu
parent5c862da43cca6fa98cde1760da672ee5d5d4e70a (diff)
downloadzpu-6bd8ad40964695835f0775526eb40ab548ad1faf.zip
zpu-6bd8ad40964695835f0775526eb40ab548ad1faf.tar.gz
change: speed up the make flow
Diffstat (limited to 'zpu')
-rw-r--r--zpu/sim/Makefile31
1 files changed, 19 insertions, 12 deletions
diff --git a/zpu/sim/Makefile b/zpu/sim/Makefile
index 3b94c5a..5c33d97 100644
--- a/zpu/sim/Makefile
+++ b/zpu/sim/Makefile
@@ -1,13 +1,22 @@
library = zpu
-rtl_files = \
- ../rtl_tb/txt_util.vhd \
+rtl_files = ../rtl_tb/txt_util.vhd \
../rtl/zpu_config.vhd \
../rtl/zpupkg.vhd \
../rtl/zpu_wrapper_package.vhd \
- ../rtl/*.vhd
-rtl_tb_files = ../rtl_tb/*.vhd
+ ../rtl/trace.vhd \
+ ../rtl/zpu_wrapper.vhd \
+ ../rtl/dualport_ram.vhd \
+ ../rtl/zpu_ahb.vhd \
+ ../rtl/zpu_core.vhd \
+ ../rtl/timer.vhd \
+ ../rtl/zpu_bus_trace.vhd \
+ ../rtl/zpu_io.vhd
+
+rtl_tb_files = ../rtl_tb/sim_small_fpga_top_noint.vhd
+
vhdlfiles = $(rtl_files) $(rtl_tb_files)
+vhdltargets := $(foreach n, $(vhdlfiles), ./$(library)/$(basename $(notdir $n))/_primary.dat)
help:
@echo "defined targets:"
@@ -18,18 +27,16 @@ help:
@echo "clean - clean up"
@echo
-remake: lib Makefile.$(library)
-Makefile.$(library): $(vhdlfiles) $(library)
- vcom -quiet -2008 -work $(library) $(vhdlfiles)
- vmake $(library) > Makefile.$(library)
- # patch winpath -> cygpath
- sed -e 's/\(C:.*\)/$$(shell cygpath --unix "\1" | sed "s\/ \/\\\\\\\\ \/g" )/g' -i Makefile.$(library)
+compile: lib deplibs $(vhdltargets)
-compile:
+deplibs:
make compile --directory ../../grlib/sim
- make -f Makefile.$(library)
+
+$(vhdltargets): $(vhdlfiles)
+ vcom -quiet -2008 -work $(library) $(vhdlfiles)
+
sim:
vsim -gui -do run.do
OpenPOWER on IntegriCloud