summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/zpu_core_small.vhd
diff options
context:
space:
mode:
authoroharboe <oharboe>2008-02-21 18:59:45 +0000
committeroharboe <oharboe>2008-02-21 18:59:45 +0000
commit4a419c5aa7ef974279042ebfba2aed2adab197db (patch)
tree1b51f6012df8d5fddc5141e2e83abd7cad1772da /zpu/hdl/zpu4/src/zpu_core_small.vhd
parent4f2caa8713e198e5cc50339a6272c085ff9ad980 (diff)
downloadzpu-4a419c5aa7ef974279042ebfba2aed2adab197db.zip
zpu-4a419c5aa7ef974279042ebfba2aed2adab197db.tar.gz
* zpu/zpu/hdl/index.html. Sharpened instructions and shows two working
examples. Small & medium ZPU. * got zpu4/src/simzpu_medium.do working again.
Diffstat (limited to 'zpu/hdl/zpu4/src/zpu_core_small.vhd')
-rw-r--r--zpu/hdl/zpu4/src/zpu_core_small.vhd13
1 files changed, 13 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/src/zpu_core_small.vhd b/zpu/hdl/zpu4/src/zpu_core_small.vhd
index 4d73f88..8ebd40d 100644
--- a/zpu/hdl/zpu4/src/zpu_core_small.vhd
+++ b/zpu/hdl/zpu4/src/zpu_core_small.vhd
@@ -27,6 +27,19 @@ end zpu_core;
architecture behave of zpu_core is
+component dualport_ram is
+port (clk : in std_logic;
+ memAWriteEnable : in std_logic;
+ memAAddr : in std_logic_vector(maxAddrBitBRAM downto minAddrBit);
+ memAWrite : in std_logic_vector(wordSize-1 downto 0);
+ memARead : out std_logic_vector(wordSize-1 downto 0);
+ memBWriteEnable : in std_logic;
+ memBAddr : in std_logic_vector(maxAddrBitBRAM downto minAddrBit);
+ memBWrite : in std_logic_vector(wordSize-1 downto 0);
+ memBRead : out std_logic_vector(wordSize-1 downto 0));
+end component;
+
+
signal readIO : std_logic;
OpenPOWER on IntegriCloud