summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/core/zpu_core_small.vhd
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2011-06-09 17:59:07 +0200
committerBert Lange <b.lange@hzdr.de>2011-08-02 13:35:26 +0200
commitb9ad801c86a4bf2f37be4972737b9c401335a49c (patch)
tree7b664e55dec382af385185674962769abf1a12e0 /zpu/hdl/zpu4/core/zpu_core_small.vhd
parente6d9de6465aecf64a53b941bf80830280e95c040 (diff)
downloadzpu-b9ad801c86a4bf2f37be4972737b9c401335a49c.zip
zpu-b9ad801c86a4bf2f37be4972737b9c401335a49c.tar.gz
change: rename signal areset to reset
Diffstat (limited to 'zpu/hdl/zpu4/core/zpu_core_small.vhd')
-rw-r--r--zpu/hdl/zpu4/core/zpu_core_small.vhd6
1 files changed, 3 insertions, 3 deletions
diff --git a/zpu/hdl/zpu4/core/zpu_core_small.vhd b/zpu/hdl/zpu4/core/zpu_core_small.vhd
index b975977..9ac35a8 100644
--- a/zpu/hdl/zpu4/core/zpu_core_small.vhd
+++ b/zpu/hdl/zpu4/core/zpu_core_small.vhd
@@ -45,7 +45,7 @@ entity zpu_core is
port (
clk : in std_logic;
-- asynchronous reset signal
- areset : in std_logic;
+ reset : in std_logic;
-- this particular implementation of the ZPU does not
-- have a clocked enable signal
enable : in std_logic;
@@ -312,11 +312,11 @@ begin
end process;
- opcodeControl: process(clk, areset)
+ opcodeControl: process(clk, reset)
variable spOffset : unsigned(4 downto 0);
begin
- if areset = '1' then
+ if reset = '1' then
state <= State_Resync;
break <= '0';
sp <= unsigned(spStart(maxAddrBit downto minAddrBit));
OpenPOWER on IntegriCloud