summaryrefslogtreecommitdiffstats
path: root/tools
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2011-12-22 10:47:39 +0100
committerBert Lange <b.lange@hzdr.de>2011-12-22 10:47:39 +0100
commitd5e98b6aaa81bc903dda5568dec4c06ffe1d24c7 (patch)
tree4a85ba84abbd0793f44a9dc99ba840e42e17b715 /tools
parent69b51ccc7cfc9f9daff64f81b563f7fdc8580ca1 (diff)
downloadzpu-d5e98b6aaa81bc903dda5568dec4c06ffe1d24c7.zip
zpu-d5e98b6aaa81bc903dda5568dec4c06ffe1d24c7.tar.gz
change: remove HeadURL keyword
Diffstat (limited to 'tools')
-rw-r--r--tools/rtl/edge_detect_synchronizer.vhd7
-rw-r--r--tools/rtl/level_synchronizer.vhd7
-rw-r--r--tools/rtl/synchronizer_package.vhd7
3 files changed, 9 insertions, 12 deletions
diff --git a/tools/rtl/edge_detect_synchronizer.vhd b/tools/rtl/edge_detect_synchronizer.vhd
index b93dc16..a6377f6 100644
--- a/tools/rtl/edge_detect_synchronizer.vhd
+++ b/tools/rtl/edge_detect_synchronizer.vhd
@@ -1,8 +1,7 @@
--------------------------------------------------------------------------------
--- $HeadURL: https://svn.fzd.de/repo/concast/FWF_Projects/FWKE/beam_position_monitor/hardware/board_sp601/rtl/teilerregister.vhd $
--- $Date: 2010-10-29 15:57:42 +0200 (Fr, 29 Okt 2010) $
--- $Author: lange $
--- $Revision: 659 $
+-- $Date$
+-- $Author$
+-- $Revision$
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
diff --git a/tools/rtl/level_synchronizer.vhd b/tools/rtl/level_synchronizer.vhd
index 940514a..2baaf7e 100644
--- a/tools/rtl/level_synchronizer.vhd
+++ b/tools/rtl/level_synchronizer.vhd
@@ -1,8 +1,7 @@
--------------------------------------------------------------------------------
--- $HeadURL: https://svn.fzd.de/repo/concast/FWF_Projects/FWKE/beam_position_monitor/hardware/board_sp601/rtl/teilerregister.vhd $
--- $Date: 2010-10-29 15:57:42 +0200 (Fr, 29 Okt 2010) $
--- $Author: lange $
--- $Revision: 659 $
+-- $Date$
+-- $Author$
+-- $Revision$
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
diff --git a/tools/rtl/synchronizer_package.vhd b/tools/rtl/synchronizer_package.vhd
index 4a58a3a..82ef5ac 100644
--- a/tools/rtl/synchronizer_package.vhd
+++ b/tools/rtl/synchronizer_package.vhd
@@ -1,8 +1,7 @@
--------------------------------------------------------------------------------
--- $HeadURL: https://svn.fzd.de/repo/concast/FWF_Projects/FWKE/beam_position_monitor/hardware/board_sp601/rtl/teilerregister.vhd $
--- $Date: 2010-10-29 15:57:42 +0200 (Fr, 29 Okt 2010) $
--- $Author: lange $
--- $Revision: 659 $
+-- $Date$
+-- $Author$
+-- $Revision$
--------------------------------------------------------------------------------
library ieee;
OpenPOWER on IntegriCloud