summaryrefslogtreecommitdiffstats
path: root/test_rrobin_problem/rtl/box.vhd
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2012-02-22 15:38:54 +0100
committerBert Lange <b.lange@hzdr.de>2012-02-22 15:38:54 +0100
commit0e5fc5e7791d7a3f6b4270604e5c8191c8487c1f (patch)
tree7cc34a8c318093e4924ee7137ebf45bcce2a400e /test_rrobin_problem/rtl/box.vhd
parent69249e08de544da25bba6254ced07c9db9bdd6ed (diff)
downloadzpu-0e5fc5e7791d7a3f6b4270604e5c8191c8487c1f.zip
zpu-0e5fc5e7791d7a3f6b4270604e5c8191c8487c1f.tar.gz
fix: comments
Diffstat (limited to 'test_rrobin_problem/rtl/box.vhd')
-rw-r--r--test_rrobin_problem/rtl/box.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/test_rrobin_problem/rtl/box.vhd b/test_rrobin_problem/rtl/box.vhd
index 82c49b3..c651746 100644
--- a/test_rrobin_problem/rtl/box.vhd
+++ b/test_rrobin_problem/rtl/box.vhd
@@ -98,7 +98,7 @@ begin
ahbmo(2) <= (ahbm_none);
ahbmo(3) <= (ahbm_none);
--
- --ahbso(0) <= (ahbs_none); -- ahbctrl
+ --ahbso(0) <= (ahbs_none); -- apbctrl_i0
ahbso(1) <= (ahbs_none);
ahbso(2) <= (ahbs_none);
ahbso(3) <= (ahbs_none);
OpenPOWER on IntegriCloud