summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2012-10-11 10:27:52 +0200
committerBert Lange <b.lange@hzdr.de>2012-10-11 10:27:52 +0200
commitd8f4ecf7a30c8d33fb5e0988d82f9ce6e6946ef0 (patch)
tree15c87ee80ca7a6657c6ae6d4a58afcc5c7162ee5
parent6dee20c65ea341b7bb12e6b4bfb4fd5b465d9d01 (diff)
downloadzpu-d8f4ecf7a30c8d33fb5e0988d82f9ce6e6946ef0.zip
zpu-d8f4ecf7a30c8d33fb5e0988d82f9ce6e6946ef0.tar.gz
add: general purpose register (grgpreg)
-rw-r--r--hw_sp601/bsp_zpuahb/simulation/vhdl_files.txt1
1 files changed, 1 insertions, 0 deletions
diff --git a/hw_sp601/bsp_zpuahb/simulation/vhdl_files.txt b/hw_sp601/bsp_zpuahb/simulation/vhdl_files.txt
index 5ea61bd..175e8ec 100644
--- a/hw_sp601/bsp_zpuahb/simulation/vhdl_files.txt
+++ b/hw_sp601/bsp_zpuahb/simulation/vhdl_files.txt
@@ -27,6 +27,7 @@ eth ../../../gaisler/rtl/greth_rx.vhd
eth ../../../gaisler/rtl/greth_tx.vhd
eth ../../../gaisler/rtl/grethc.vhd
gaisler ../../../gaisler/rtl/grgpio.vhd
+gaisler ../../../gaisler/rtl/grgpreg.vhd
gaisler ../../../gaisler/rtl/i2cmst.vhd
gaisler ../../../gaisler/rtl/irqmp.vhd
gaisler ../../../gaisler/rtl/leon3.vhd
OpenPOWER on IntegriCloud