summaryrefslogtreecommitdiffstats
path: root/drivers/tty/serial/mfd.c
Commit message (Expand)AuthorAgeFilesLines
* serial: Fix IGNBRK handlingPeter Hurley2014-06-191-1/+1
* serial: mfd: Staticize local symbolsJingoo Han2013-10-291-4/+6
* serial: mfd: remove unnecessary pci_set_drvdata()Jingoo Han2013-09-261-1/+0
* serial: mfd: Replace MODULE_ALIAS with MODULE_DEVICE_TABLEBen Hutchings2013-09-261-1/+1
* tty: serial: mfd: drop uart_port->lock before calling tty_flip_buffer_push()Viresh Kumar2013-08-271-4/+10
* Merge tag 'pm+acpi-3.11-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git...Linus Torvalds2013-07-031-7/+2
|\
| * PM / Runtime: Rework the "runtime idle" helper routineRafael J. Wysocki2013-06-031-7/+2
* | serial: mfd: Add sysrq supportFeng Tang2013-06-171-0/+4
|/
* TTY: switch tty_flip_buffer_pushJiri Slaby2013-01-151-10/+2
* TTY: switch tty_insert_flip_stringJiri Slaby2013-01-151-2/+3
* tty: remove use of __devinitconstBill Pemberton2012-11-211-1/+1
* tty: serial: remove use of __devexit_pBill Pemberton2012-11-211-1/+1
* serial: mfd: Add nmi_touch_watchdog() into the console write functionFeng Tang2012-11-151-0/+3
* simple_open: automatically convert to simple_open()Stephen Boyd2012-04-051-7/+2
* Merge 3.2-rc3 into tty-next to handle merge conflict in tty_ldisc.cGreg Kroah-Hartman2011-11-261-3/+1
|\
| * serial,mfd: Fix CMSPAR setupAlan Cox2011-11-151-3/+1
* | serial, mfd: don't hardcode the consoleMika Westerberg2011-11-151-11/+7
|/
* serial: mfd: Initconst section fixesAndi Kleen2011-09-221-1/+1
* hsu: add runtime pm supportKristen Carlson Accardi2011-08-261-0/+47
* treewide: cleanup continuations and remove logging message whitespaceJoe Perches2011-04-261-2/+2
* serial: mfd: add a module parameter for setting each port's working modeFeng Tang2011-02-221-0/+11
* serial: mfd: remove the TX full-empty interrupts workaroundFeng Tang2011-02-221-21/+5
* serial: mfd: remove the timeout workaround for A0Feng Tang2011-02-031-38/+0
* tty: move drivers/serial/ to drivers/tty/serial/Greg Kroah-Hartman2011-01-131-0/+1513
OpenPOWER on IntegriCloud