summaryrefslogtreecommitdiffstats
path: root/tests/ref/vsynth/vsynth2-dnxhd-hr-sq-mov
diff options
context:
space:
mode:
Diffstat (limited to 'tests/ref/vsynth/vsynth2-dnxhd-hr-sq-mov')
-rw-r--r--tests/ref/vsynth/vsynth2-dnxhd-hr-sq-mov4
1 files changed, 4 insertions, 0 deletions
diff --git a/tests/ref/vsynth/vsynth2-dnxhd-hr-sq-mov b/tests/ref/vsynth/vsynth2-dnxhd-hr-sq-mov
new file mode 100644
index 0000000..7bffbed
--- /dev/null
+++ b/tests/ref/vsynth/vsynth2-dnxhd-hr-sq-mov
@@ -0,0 +1,4 @@
+863b1780cfdabfd713ddf722792a5e61 *tests/data/fate/vsynth2-dnxhd-hr-sq-mov.mov
+2560763 tests/data/fate/vsynth2-dnxhd-hr-sq-mov.mov
+ba86d472b3f160e2f1d00cf569231e3f *tests/data/fate/vsynth2-dnxhd-hr-sq-mov.out.rawvideo
+stddev: 1.58 PSNR: 44.13 MAXDIFF: 35 bytes: 7603200/ 760320
OpenPOWER on IntegriCloud