summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--release/sysinstall/devices.c3
-rw-r--r--release/texts/HARDWARE.TXT10
-rw-r--r--release/texts/RELNOTES.TXT13
-rw-r--r--release/texts/i386/HARDWARE.TXT10
-rw-r--r--release/texts/i386/RELNOTES.TXT13
-rw-r--r--share/man/man4/man4.i386/ti.4192
-rw-r--r--share/man/man4/ti.4192
-rw-r--r--sys/conf/NOTES9
-rw-r--r--sys/conf/files1
-rw-r--r--sys/dev/ti/if_ti.c2486
-rw-r--r--sys/dev/ti/if_tireg.h1190
-rw-r--r--sys/dev/ti/ti_fw.h4459
-rw-r--r--sys/dev/ti/ti_fw2.h4362
-rw-r--r--sys/i386/conf/LINT9
-rw-r--r--sys/i386/conf/NOTES9
-rw-r--r--sys/i386/i386/userconfig.c5
-rw-r--r--sys/pci/if_ti.c2486
-rw-r--r--sys/pci/if_tireg.h1190
-rw-r--r--sys/pci/ti_fw.h4459
-rw-r--r--sys/pci/ti_fw2.h4362
-rw-r--r--usr.sbin/sade/devices.c3
-rw-r--r--usr.sbin/sysinstall/devices.c3
22 files changed, 25458 insertions, 8 deletions
diff --git a/release/sysinstall/devices.c b/release/sysinstall/devices.c
index 0269d4e..bf4af72 100644
--- a/release/sysinstall/devices.c
+++ b/release/sysinstall/devices.c
@@ -4,7 +4,7 @@
* This is probably the last program in the `sysinstall' line - the next
* generation being essentially a complete rewrite.
*
- * $Id: devices.c,v 1.88 1999/01/09 18:12:06 wpaul Exp $
+ * $Id: devices.c,v 1.89 1999/04/06 08:25:52 jkh Exp $
*
* Copyright (c) 1995
* Jordan Hubbard. All rights reserved.
@@ -100,6 +100,7 @@ static struct _devname {
{ DEVICE_TYPE_NETWORK, "pn", "Lite-On 82168/82169 PNIC PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "rl", "RealTek 8129/8139 PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "tx", "SMC 9432TX ethernet card" },
+ { DEVICE_TYPE_NETWORK, "ti", "Alteon Networks PCI gigabit ethernet card" },
{ DEVICE_TYPE_NETWORK, "tl", "Texas Instruments ThunderLAN PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "vr", "VIA VT3043/VT86C100A Rhine PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "vx", "3COM 3c590 / 3c595 ethernet card" },
diff --git a/release/texts/HARDWARE.TXT b/release/texts/HARDWARE.TXT
index 08d7a35..6e6e152 100644
--- a/release/texts/HARDWARE.TXT
+++ b/release/texts/HARDWARE.TXT
@@ -109,6 +109,7 @@ lnc0 280 10 n/a dyn Lance/PCnet cards
mx0 dyn dyn n/a dyn Macronix 98713/15/25 PCI based cards
pn0 dyn dyn n/a dyn Lite-On PNIC PCI based cards
rl0 dyn dyn n/a dyn RealTek 8129/8139 fast ethernet
+ti0 dyn dyn n/a dyn Alteon Networks gigabit ethernet
tl0 dyn dyn n/a dyn TI TNET100 'ThunderLAN' cards.
wb0 dyn dyn n/a dyn Winbond W89C840F PCI based cards.
vr0 dyn dyn n/a dyn VIA VT3043/VT86C100A PCI based cards.
@@ -422,6 +423,15 @@ Unmaintained drivers, they might or might not work for your hardware:
Allied-Telesis AT1700 and RE2000 cards
+Alteon Networks PCI gigabit ethernet NICs based on the Tigon 1 and Tigon 2
+chipsets, including the following:
+ Alteon AceNIC (Tigon 1 and 2)
+ 3Com 3c985-SX (Tigon 1 and 2)
+ Netgear GA620 (Tigon 2)
+ Silicon Graphics Gigabit Ethernet
+ DEC/Compaq EtherWORKS 1000
+ NEC Gigabit Ethernet
+
AMD PCnet/PCI (79c970 & 53c974 or 79c974)
SMC Elite 16 WD8013 ethernet interface, and most other WD8003E,
diff --git a/release/texts/RELNOTES.TXT b/release/texts/RELNOTES.TXT
index 2d994f0..9b75120 100644
--- a/release/texts/RELNOTES.TXT
+++ b/release/texts/RELNOTES.TXT
@@ -60,6 +60,10 @@ An emulator for SVR4 binaries has been added.
Support has been added for direct access to NTFS filesystems.
+Driver support has been added for PCI gigabit ethernet adapters
+based on the Alteon Networks Tigon 1 and Tigon 2 chipsets, including
+the Alteon AceNIC, 3Com 3c985 and Netgear GA620.
+
1.2. SECURITY FIXES
-------------------
@@ -198,6 +202,15 @@ Unmaintained drivers, they might or might not work for your hardware:
-------------------
Allied-Telesis AT1700 and RE2000 cards
+Alteon Networks PCI gigabit ethernet NICs based on the Tigon 1 and Tigon 2
+chipsets, including the following:
+ Alteon AceNIC (Tigon 1 and 2)
+ 3Com 3c985-SX (Tigon 1 and 2)
+ Netgear GA620 (Tigon 2)
+ Silicon Graphics Gigabit Ethernet
+ DEC/Compaq EtherWORKS 1000
+ NEC Gigabit Ethernet
+
AMD PCnet/PCI (79c970 & 53c974 or 79c974)
SMC Elite 16 WD8013 ethernet interface, and most other WD8003E,
diff --git a/release/texts/i386/HARDWARE.TXT b/release/texts/i386/HARDWARE.TXT
index 08d7a35..6e6e152 100644
--- a/release/texts/i386/HARDWARE.TXT
+++ b/release/texts/i386/HARDWARE.TXT
@@ -109,6 +109,7 @@ lnc0 280 10 n/a dyn Lance/PCnet cards
mx0 dyn dyn n/a dyn Macronix 98713/15/25 PCI based cards
pn0 dyn dyn n/a dyn Lite-On PNIC PCI based cards
rl0 dyn dyn n/a dyn RealTek 8129/8139 fast ethernet
+ti0 dyn dyn n/a dyn Alteon Networks gigabit ethernet
tl0 dyn dyn n/a dyn TI TNET100 'ThunderLAN' cards.
wb0 dyn dyn n/a dyn Winbond W89C840F PCI based cards.
vr0 dyn dyn n/a dyn VIA VT3043/VT86C100A PCI based cards.
@@ -422,6 +423,15 @@ Unmaintained drivers, they might or might not work for your hardware:
Allied-Telesis AT1700 and RE2000 cards
+Alteon Networks PCI gigabit ethernet NICs based on the Tigon 1 and Tigon 2
+chipsets, including the following:
+ Alteon AceNIC (Tigon 1 and 2)
+ 3Com 3c985-SX (Tigon 1 and 2)
+ Netgear GA620 (Tigon 2)
+ Silicon Graphics Gigabit Ethernet
+ DEC/Compaq EtherWORKS 1000
+ NEC Gigabit Ethernet
+
AMD PCnet/PCI (79c970 & 53c974 or 79c974)
SMC Elite 16 WD8013 ethernet interface, and most other WD8003E,
diff --git a/release/texts/i386/RELNOTES.TXT b/release/texts/i386/RELNOTES.TXT
index 2d994f0..9b75120 100644
--- a/release/texts/i386/RELNOTES.TXT
+++ b/release/texts/i386/RELNOTES.TXT
@@ -60,6 +60,10 @@ An emulator for SVR4 binaries has been added.
Support has been added for direct access to NTFS filesystems.
+Driver support has been added for PCI gigabit ethernet adapters
+based on the Alteon Networks Tigon 1 and Tigon 2 chipsets, including
+the Alteon AceNIC, 3Com 3c985 and Netgear GA620.
+
1.2. SECURITY FIXES
-------------------
@@ -198,6 +202,15 @@ Unmaintained drivers, they might or might not work for your hardware:
-------------------
Allied-Telesis AT1700 and RE2000 cards
+Alteon Networks PCI gigabit ethernet NICs based on the Tigon 1 and Tigon 2
+chipsets, including the following:
+ Alteon AceNIC (Tigon 1 and 2)
+ 3Com 3c985-SX (Tigon 1 and 2)
+ Netgear GA620 (Tigon 2)
+ Silicon Graphics Gigabit Ethernet
+ DEC/Compaq EtherWORKS 1000
+ NEC Gigabit Ethernet
+
AMD PCnet/PCI (79c970 & 53c974 or 79c974)
SMC Elite 16 WD8013 ethernet interface, and most other WD8003E,
diff --git a/share/man/man4/man4.i386/ti.4 b/share/man/man4/man4.i386/ti.4
new file mode 100644
index 0000000..9e277bc
--- /dev/null
+++ b/share/man/man4/man4.i386/ti.4
@@ -0,0 +1,192 @@
+.\" Copyright (c) 1997, 1998, 1999
+.\" Bill Paul <wpaul@ctr.columbia.edu>. All rights reserved.
+.\"
+.\" Redistribution and use in source and binary forms, with or without
+.\" modification, are permitted provided that the following conditions
+.\" are met:
+.\" 1. Redistributions of source code must retain the above copyright
+.\" notice, this list of conditions and the following disclaimer.
+.\" 2. Redistributions in binary form must reproduce the above copyright
+.\" notice, this list of conditions and the following disclaimer in the
+.\" documentation and/or other materials provided with the distribution.
+.\" 3. All advertising materials mentioning features or use of this software
+.\" must display the following acknowledgement:
+.\" This product includes software developed by Bill Paul.
+.\" 4. Neither the name of the author nor the names of any co-contributors
+.\" may be used to endorse or promote products derived from this software
+.\" without specific prior written permission.
+.\"
+.\" THIS SOFTWARE IS PROVIDED BY Bill Paul AND CONTRIBUTORS ``AS IS'' AND
+.\" ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
+.\" IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
+.\" ARE DISCLAIMED. IN NO EVENT SHALL Bill Paul OR THE VOICES IN HIS HEAD
+.\" BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+.\" CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
+.\" SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
+.\" INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
+.\" CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
+.\" ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
+.\" THE POSSIBILITY OF SUCH DAMAGE.
+.\"
+.\" $Id$
+.\"
+.Dd March 4, 1999
+.Dt TI 4 i386
+.Os FreeBSD
+.Sh NAME
+.Nm ti
+.Nd
+Alteon Networks Tigon I and Tigon II gigabit ethernet driver
+.Sh SYNOPSIS
+.Cd "device ti0"
+.Sh DESCRIPTION
+The
+.Nm
+driver provides support for PCI gigabit ethernet adapters based on
+the Alteon Networks Tigon gigabit ethernet controller chip. The Tigon
+contains an embedded R4000 CPU, gigabit MAC, dual DMA channels and
+a PCI interface unit. The Tigon II contains two R4000 CPUs and other
+refinements. Either chip can be used in either a 32-bit or 64-bit PCI
+slot. Communication with the chip is achieved via PCI shared memory
+and bus master DMA. The Tigon I and II support hardware multicast
+address filtering, VLAN tag extraction and insertion, and jumbo
+ethernet frames sizes up to 9000 bytes. Note that the Tigon I chipset
+is no longer in active production: all new adapters should come equipped
+with Tigon II chipsets.
+.Pp
+There are several PCI boards available from both Alteon and other
+vendors that use the Tigon chipset under OEM contract. The
+.Nm
+driver has been tested with the following Tigon-based adapters:
+.Bl -bullet -offset indent
+.It
+The Alteon AceNIC V gigabit ethernet adapter
+.It
+The 3Com 3c985-SX gigabit ethernet adapter
+.It
+The Netgear GA620 gigabit ethernet adapter
+.El
+.Pp
+The following should also be supported but have not yet been tested:
+.Bl -bullet -offset indent
+.It
+The Digital EtherWORKS 1000SX PCI Gigabit Adapter
+.It
+Silicon Graphics PCI gigabit ethernet adapter
+.El
+.Pp
+While the Tigon chipset supports 10, 100 and 1000Mbps speeds, support for
+10 and 100Mbps speeds is only available on boards with the proper
+transceivers. Most adapters are only designed to work at 1000Mbps,
+however the driver should support those NICs that work at lower speeds
+as well.
+.Pp
+Support for jumbo frames is provided via the interface MTU setting.
+Selecting an MTU larger than 1500 bytes with the
+.Xr ifconfig 8
+utility configures the adapter to receive and transmit jumbo frames.
+Using jumbo frames can greatly improve performance for certain tasks,
+such as file transfers and data streaming.
+.Pp
+Support for vlans is also available using the
+.Xr vlan 4
+mechanism. See the
+.Xr vlan 4
+man page for more details.
+.Pp
+The
+.Nm
+driver supports the following media types:
+.Pp
+.Bl -tag -width xxxxxxxxxxxxxxxxxxxx
+.It autoselect
+Enable autoselection of the media type and options.
+The user can manually override
+the autoselected mode by adding media options to the
+.Pa /etc/rc.conf
+file.
+.It 10baseT/UTP
+Set 10Mbps operation. The
+.Ar mediaopt
+option can also be used to select either
+.Ar full-duplex
+or
+.Ar half-duplex modes.
+.It 100baseTX
+Set 100Mbps (fast ethernet) operation. The
+.Ar mediaopt
+option can also be used to select either
+.Ar full-duplex
+or
+.Ar half-duplex
+modes.
+.It 1000baseSX
+Set 1000Mbps (gigabit ethernet) operation. Only full
+.Ar full-duplex
+mode is supported at this speed.
+.El
+.Pp
+The
+.Nm
+driver supports the following media options:
+.Pp
+.Bl -tag -width xxxxxxxxxxxxxxxxxxxx
+.It full-duplex
+Force full duplex operation
+.It half-duplex
+Force half duplex operation.
+.El
+.Pp
+For more information on configuring this device, see
+.Xr ifconfig 8 .
+.Sh DIAGNOSTICS
+.Bl -diag
+.It "ti%d: couldn't map memory"
+A fatal initialization error has occurred.
+.It "ti%d: couldn't map interrupt"
+A fatal initialization error has occurred.
+.It "ti%d: no memory for softc struct!"
+The driver failed to allocate memory for per-device instance information
+during initialization.
+.It "ti%d: failed to enable memory mapping!"
+The driver failed to initialize PCI shared memory mapping. This might
+happen if the card is not in a bus-master slot.
+.It "ti%d: no memory for jumbo buffers!"
+The driver failed to allocate memory for jumbo frames during
+initialization.
+.It "ti%d: bios thinks we're in a 64 bit slot, but we aren't"
+The BIOS has programmed the NIC as though it had been installed in
+a 64-bit PCI slot, but in fact the NIC is in a 32-bit slot. This happens
+as a result of a bug in some BIOSes. This can be worked around on the
+Tigon II, but on the Tigon I initialization will fail.
+.It "ti%d: board self-diagnostics failed!"
+The ROMFAIL bit in the CPU state register was set after system
+startup, indicating that the on-board NIC diagnostics failed.
+.It "ti%d: unknown hwrev"
+The driver detected a board with an unsupported hardware revision. The
+.Nm
+driver supports revision 4 (Tigon 1) and revision 6 (Tigon 2) chips
+and has firmware only for those devices.
+.It "ti%d: watchdog timeout"
+The device has stopped responding to the network, or there is a problem with
+the network connection (cable).
+.El
+.Sh SEE ALSO
+.Xr arp 4 ,
+.Xr netintro 4 ,
+.Xr ifconfig 8 ,
+.Xr vlan 4
+.Rs
+.%T Alteon Gigabit Ethernet/PCI NIC manuals
+.%O http://www.alteon.com/support/openkits
+.Re
+.Sh HISTORY
+The
+.Nm
+device driver first appeared in
+.Fx 3.0 .
+.Sh AUTHOR
+The
+.Nm
+driver was written by
+.An Bill Paul Aq wpaul@ctr.columbia.edu .
diff --git a/share/man/man4/ti.4 b/share/man/man4/ti.4
new file mode 100644
index 0000000..9e277bc
--- /dev/null
+++ b/share/man/man4/ti.4
@@ -0,0 +1,192 @@
+.\" Copyright (c) 1997, 1998, 1999
+.\" Bill Paul <wpaul@ctr.columbia.edu>. All rights reserved.
+.\"
+.\" Redistribution and use in source and binary forms, with or without
+.\" modification, are permitted provided that the following conditions
+.\" are met:
+.\" 1. Redistributions of source code must retain the above copyright
+.\" notice, this list of conditions and the following disclaimer.
+.\" 2. Redistributions in binary form must reproduce the above copyright
+.\" notice, this list of conditions and the following disclaimer in the
+.\" documentation and/or other materials provided with the distribution.
+.\" 3. All advertising materials mentioning features or use of this software
+.\" must display the following acknowledgement:
+.\" This product includes software developed by Bill Paul.
+.\" 4. Neither the name of the author nor the names of any co-contributors
+.\" may be used to endorse or promote products derived from this software
+.\" without specific prior written permission.
+.\"
+.\" THIS SOFTWARE IS PROVIDED BY Bill Paul AND CONTRIBUTORS ``AS IS'' AND
+.\" ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
+.\" IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
+.\" ARE DISCLAIMED. IN NO EVENT SHALL Bill Paul OR THE VOICES IN HIS HEAD
+.\" BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+.\" CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
+.\" SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
+.\" INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
+.\" CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
+.\" ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
+.\" THE POSSIBILITY OF SUCH DAMAGE.
+.\"
+.\" $Id$
+.\"
+.Dd March 4, 1999
+.Dt TI 4 i386
+.Os FreeBSD
+.Sh NAME
+.Nm ti
+.Nd
+Alteon Networks Tigon I and Tigon II gigabit ethernet driver
+.Sh SYNOPSIS
+.Cd "device ti0"
+.Sh DESCRIPTION
+The
+.Nm
+driver provides support for PCI gigabit ethernet adapters based on
+the Alteon Networks Tigon gigabit ethernet controller chip. The Tigon
+contains an embedded R4000 CPU, gigabit MAC, dual DMA channels and
+a PCI interface unit. The Tigon II contains two R4000 CPUs and other
+refinements. Either chip can be used in either a 32-bit or 64-bit PCI
+slot. Communication with the chip is achieved via PCI shared memory
+and bus master DMA. The Tigon I and II support hardware multicast
+address filtering, VLAN tag extraction and insertion, and jumbo
+ethernet frames sizes up to 9000 bytes. Note that the Tigon I chipset
+is no longer in active production: all new adapters should come equipped
+with Tigon II chipsets.
+.Pp
+There are several PCI boards available from both Alteon and other
+vendors that use the Tigon chipset under OEM contract. The
+.Nm
+driver has been tested with the following Tigon-based adapters:
+.Bl -bullet -offset indent
+.It
+The Alteon AceNIC V gigabit ethernet adapter
+.It
+The 3Com 3c985-SX gigabit ethernet adapter
+.It
+The Netgear GA620 gigabit ethernet adapter
+.El
+.Pp
+The following should also be supported but have not yet been tested:
+.Bl -bullet -offset indent
+.It
+The Digital EtherWORKS 1000SX PCI Gigabit Adapter
+.It
+Silicon Graphics PCI gigabit ethernet adapter
+.El
+.Pp
+While the Tigon chipset supports 10, 100 and 1000Mbps speeds, support for
+10 and 100Mbps speeds is only available on boards with the proper
+transceivers. Most adapters are only designed to work at 1000Mbps,
+however the driver should support those NICs that work at lower speeds
+as well.
+.Pp
+Support for jumbo frames is provided via the interface MTU setting.
+Selecting an MTU larger than 1500 bytes with the
+.Xr ifconfig 8
+utility configures the adapter to receive and transmit jumbo frames.
+Using jumbo frames can greatly improve performance for certain tasks,
+such as file transfers and data streaming.
+.Pp
+Support for vlans is also available using the
+.Xr vlan 4
+mechanism. See the
+.Xr vlan 4
+man page for more details.
+.Pp
+The
+.Nm
+driver supports the following media types:
+.Pp
+.Bl -tag -width xxxxxxxxxxxxxxxxxxxx
+.It autoselect
+Enable autoselection of the media type and options.
+The user can manually override
+the autoselected mode by adding media options to the
+.Pa /etc/rc.conf
+file.
+.It 10baseT/UTP
+Set 10Mbps operation. The
+.Ar mediaopt
+option can also be used to select either
+.Ar full-duplex
+or
+.Ar half-duplex modes.
+.It 100baseTX
+Set 100Mbps (fast ethernet) operation. The
+.Ar mediaopt
+option can also be used to select either
+.Ar full-duplex
+or
+.Ar half-duplex
+modes.
+.It 1000baseSX
+Set 1000Mbps (gigabit ethernet) operation. Only full
+.Ar full-duplex
+mode is supported at this speed.
+.El
+.Pp
+The
+.Nm
+driver supports the following media options:
+.Pp
+.Bl -tag -width xxxxxxxxxxxxxxxxxxxx
+.It full-duplex
+Force full duplex operation
+.It half-duplex
+Force half duplex operation.
+.El
+.Pp
+For more information on configuring this device, see
+.Xr ifconfig 8 .
+.Sh DIAGNOSTICS
+.Bl -diag
+.It "ti%d: couldn't map memory"
+A fatal initialization error has occurred.
+.It "ti%d: couldn't map interrupt"
+A fatal initialization error has occurred.
+.It "ti%d: no memory for softc struct!"
+The driver failed to allocate memory for per-device instance information
+during initialization.
+.It "ti%d: failed to enable memory mapping!"
+The driver failed to initialize PCI shared memory mapping. This might
+happen if the card is not in a bus-master slot.
+.It "ti%d: no memory for jumbo buffers!"
+The driver failed to allocate memory for jumbo frames during
+initialization.
+.It "ti%d: bios thinks we're in a 64 bit slot, but we aren't"
+The BIOS has programmed the NIC as though it had been installed in
+a 64-bit PCI slot, but in fact the NIC is in a 32-bit slot. This happens
+as a result of a bug in some BIOSes. This can be worked around on the
+Tigon II, but on the Tigon I initialization will fail.
+.It "ti%d: board self-diagnostics failed!"
+The ROMFAIL bit in the CPU state register was set after system
+startup, indicating that the on-board NIC diagnostics failed.
+.It "ti%d: unknown hwrev"
+The driver detected a board with an unsupported hardware revision. The
+.Nm
+driver supports revision 4 (Tigon 1) and revision 6 (Tigon 2) chips
+and has firmware only for those devices.
+.It "ti%d: watchdog timeout"
+The device has stopped responding to the network, or there is a problem with
+the network connection (cable).
+.El
+.Sh SEE ALSO
+.Xr arp 4 ,
+.Xr netintro 4 ,
+.Xr ifconfig 8 ,
+.Xr vlan 4
+.Rs
+.%T Alteon Gigabit Ethernet/PCI NIC manuals
+.%O http://www.alteon.com/support/openkits
+.Re
+.Sh HISTORY
+The
+.Nm
+device driver first appeared in
+.Fx 3.0 .
+.Sh AUTHOR
+The
+.Nm
+driver was written by
+.An Bill Paul Aq wpaul@ctr.columbia.edu .
diff --git a/sys/conf/NOTES b/sys/conf/NOTES
index 1d71f87..bcfde88 100644
--- a/sys/conf/NOTES
+++ b/sys/conf/NOTES
@@ -2,7 +2,7 @@
# LINT -- config file for checking all the sources, tries to pull in
# as much of the source tree as it can.
#
-# $Id: LINT,v 1.573 1999/03/29 17:56:27 ken Exp $
+# $Id: LINT,v 1.574 1999/03/31 15:23:31 eivind Exp $
#
# NB: You probably don't want to try running a kernel built from this
# file. Instead, you should start from GENERIC, and add options from
@@ -1560,6 +1560,12 @@ options "EISA_SLOTS=12"
# the MPX 5030/5038, which is either a RealTek in disguise or a RealTek
# workalike.
#
+# The 'ti' device provides support for PCI gigabit ethernet NICs based
+# on the Alteon Networks Tigon 1 and Tigon 2 chipsets. This includes the
+# Alteon AceNIC, the 3Com 3c985, the Netgear GA620 and various others.
+# Note that you will probably want to bump up NBMCLUSTERS a lot to use
+# this driver.
+#
# The 'tl' device provides support for the Texas Instruments TNETE100
# series 'ThunderLAN' cards and integrated ethernet controllers. This
# includes several Compaq Netelligent 10/100 cards and the built-in
@@ -1670,6 +1676,7 @@ device fxp0
device mx0
device pn0
device rl0
+device ti0
device tl0
device tx0
device vr0
diff --git a/sys/conf/files b/sys/conf/files
index 70be401..d73f836 100644
--- a/sys/conf/files
+++ b/sys/conf/files
@@ -599,6 +599,7 @@ pci/if_pn.c optional pn device-driver
pci/if_fpa.c optional fpa device-driver
pci/if_rl.c optional rl device-driver
pci/if_sr_p.c optional sr device-driver
+pci/if_ti.c optional ti device-driver
pci/if_tl.c optional tl device-driver
pci/if_tx.c optional tx device-driver
pci/if_vr.c optional vr device-driver
diff --git a/sys/dev/ti/if_ti.c b/sys/dev/ti/if_ti.c
new file mode 100644
index 0000000..33900df
--- /dev/null
+++ b/sys/dev/ti/if_ti.c
@@ -0,0 +1,2486 @@
+/*
+ * Copyright (c) 1997, 1998, 1999
+ * Bill Paul <wpaul@ctr.columbia.edu>. All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without
+ * modification, are permitted provided that the following conditions
+ * are met:
+ * 1. Redistributions of source code must retain the above copyright
+ * notice, this list of conditions and the following disclaimer.
+ * 2. Redistributions in binary form must reproduce the above copyright
+ * notice, this list of conditions and the following disclaimer in the
+ * documentation and/or other materials provided with the distribution.
+ * 3. All advertising materials mentioning features or use of this software
+ * must display the following acknowledgement:
+ * This product includes software developed by Bill Paul.
+ * 4. Neither the name of the author nor the names of any co-contributors
+ * may be used to endorse or promote products derived from this software
+ * without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY Bill Paul AND CONTRIBUTORS ``AS IS'' AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
+ * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
+ * ARE DISCLAIMED. IN NO EVENT SHALL Bill Paul OR THE VOICES IN HIS HEAD
+ * BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+ * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
+ * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
+ * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
+ * CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
+ * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
+ * THE POSSIBILITY OF SUCH DAMAGE.
+ *
+ * $Id: if_ti.c,v 1.106 1999/04/06 15:55:01 wpaul Exp $
+ */
+
+/*
+ * Alteon Networks Tigon PCI gigabit ethernet driver for FreeBSD.
+ * Manuals, sample driver and firmware source kits are available
+ * from http://www.alteon.com/support/openkits.
+ *
+ * Written by Bill Paul <wpaul@ctr.columbia.edu>
+ * Electrical Engineering Department
+ * Columbia University, New York City
+ */
+
+/*
+ * The Alteon Networks Tigon chip contains an embedded R4000 CPU,
+ * gigabit MAC, dual DMA channels and a PCI interface unit. NICs
+ * using the Tigon may have anywhere from 512K to 2MB of SRAM. The
+ * Tigon supports hardware IP, TCP and UCP checksumming, multicast
+ * filtering and jumbo (9014 byte) frames. The hardware is largely
+ * controlled by firmware, which must be loaded into the NIC during
+ * initialization.
+ *
+ * The Tigon 2 contains 2 R4000 CPUs and requires a newer firmware
+ * revision, which supports new features such as extended commands,
+ * extended jumbo receive ring desciptors and a mini receive ring.
+ *
+ * Alteon Networks is to be commended for releasing such a vast amount
+ * of development material for the Tigon NIC without requiring an NDA
+ * (although they really should have done it a long time ago). With
+ * any luck, the other vendors will finally wise up and follow Alteon's
+ * stellar example.
+ *
+ * The firmware for the Tigon 1 and 2 NICs is compiled directly into
+ * this driver by #including it as a C header file. This bloats the
+ * driver somewhat, but it's the easiest method considering that the
+ * driver code and firmware code need to be kept in sync. The source
+ * for the firmware is not provided with the FreeBSD distribution since
+ * compiling it requires a GNU toolchain targeted for mips-sgi-irix5.3.
+ *
+ * The following people deserve special thanks:
+ * - Terry Murphy of 3Com, for providing a 3c985 Tigon 1 board
+ * for testing
+ * - Raymond Lee of Netgear, for providing a pair of Netgear
+ * GA620 Tigon 2 boards for testing
+ * - Ulf Zimmermann, for bringing the GA260 to my attention and
+ * convincing me to write this driver.
+ * - Andrew Gallatin for providing FreeBSD/Alpha support.
+ */
+
+#include "bpfilter.h"
+#include "vlan.h"
+
+#include <sys/param.h>
+#include <sys/systm.h>
+#include <sys/sockio.h>
+#include <sys/mbuf.h>
+#include <sys/malloc.h>
+#include <sys/kernel.h>
+#include <sys/socket.h>
+#include <sys/queue.h>
+
+#include <net/if.h>
+#include <net/if_arp.h>
+#include <net/ethernet.h>
+#include <net/if_dl.h>
+#include <net/if_media.h>
+
+#if NBPFILTER > 0
+#include <net/bpf.h>
+#endif
+
+#if NVLAN > 0
+#include <net/if_types.h>
+#include <net/if_vlan_var.h>
+#endif
+
+#include <netinet/in_systm.h>
+#include <netinet/in.h>
+#include <netinet/ip.h>
+
+#include <vm/vm.h> /* for vtophys */
+#include <vm/pmap.h> /* for vtophys */
+#include <machine/clock.h> /* for DELAY */
+#include <machine/bus_memio.h>
+#include <machine/bus.h>
+
+#include <pci/pcireg.h>
+#include <pci/pcivar.h>
+
+#include <pci/if_tireg.h>
+#include <pci/ti_fw.h>
+#include <pci/ti_fw2.h>
+
+#ifdef M_HWCKSUM
+/*#define TI_CSUM_OFFLOAD*/
+#endif
+
+#if !defined(lint)
+static const char rcsid[] =
+ "$Id: if_ti.c,v 1.106 1999/04/06 15:55:01 wpaul Exp $";
+#endif
+
+/*
+ * Various supported device vendors/types and their names.
+ */
+
+static struct ti_type ti_devs[] = {
+ { ALT_VENDORID, ALT_DEVICEID_ACENIC,
+ "Alteon AceNIC Gigabit Ethernet" },
+ { TC_VENDORID, TC_DEVICEID_3C985,
+ "3Com 3c985-SX Gigabit Ethernet" },
+ { NG_VENDORID, NG_DEVICEID_GA620,
+ "Netgear GA620 Gigabit Ethernet" },
+ { SGI_VENDORID, SGI_DEVICEID_TIGON,
+ "Silicon Graphics Gigabit Ethernet" },
+ { 0, 0, NULL }
+};
+
+static unsigned long ti_count;
+
+static const char *ti_probe __P((pcici_t, pcidi_t));
+static void ti_attach __P((pcici_t, int));
+static void ti_txeof __P((struct ti_softc *));
+static void ti_rxeof __P((struct ti_softc *));
+
+static void ti_stats_update __P((struct ti_softc *));
+static int ti_encap __P((struct ti_softc *, struct mbuf *,
+ u_int32_t *));
+
+static void ti_intr __P((void *));
+static void ti_start __P((struct ifnet *));
+static int ti_ioctl __P((struct ifnet *, u_long, caddr_t));
+static void ti_init __P((void *));
+static void ti_init2 __P((struct ti_softc *));
+static void ti_stop __P((struct ti_softc *));
+static void ti_watchdog __P((struct ifnet *));
+static void ti_shutdown __P((int, void *));
+static int ti_ifmedia_upd __P((struct ifnet *));
+static void ti_ifmedia_sts __P((struct ifnet *, struct ifmediareq *));
+
+static u_int32_t ti_eeprom_putbyte __P((struct ti_softc *, int));
+static u_int8_t ti_eeprom_getbyte __P((struct ti_softc *,
+ int, u_int8_t *));
+static int ti_read_eeprom __P((struct ti_softc *, caddr_t, int, int));
+
+static void ti_add_mcast __P((struct ti_softc *, struct ether_addr *));
+static void ti_del_mcast __P((struct ti_softc *, struct ether_addr *));
+static void ti_setmulti __P((struct ti_softc *));
+
+static void ti_mem __P((struct ti_softc *, u_int32_t,
+ u_int32_t, caddr_t));
+static void ti_loadfw __P((struct ti_softc *));
+static void ti_cmd __P((struct ti_softc *, struct ti_cmd_desc *));
+static void ti_cmd_ext __P((struct ti_softc *, struct ti_cmd_desc *,
+ caddr_t, int));
+static void ti_handle_events __P((struct ti_softc *));
+static int ti_alloc_jumbo_mem __P((struct ti_softc *));
+static void *ti_jalloc __P((struct ti_softc *));
+static void ti_jfree __P((caddr_t, u_int));
+static void ti_jref __P((caddr_t, u_int));
+static int ti_newbuf_std __P((struct ti_softc *, int, struct mbuf *));
+static int ti_newbuf_mini __P((struct ti_softc *, int, struct mbuf *));
+static int ti_newbuf_jumbo __P((struct ti_softc *, int, struct mbuf *));
+static int ti_init_rx_ring_std __P((struct ti_softc *));
+static void ti_free_rx_ring_std __P((struct ti_softc *));
+static int ti_init_rx_ring_jumbo __P((struct ti_softc *));
+static void ti_free_rx_ring_jumbo __P((struct ti_softc *));
+static int ti_init_rx_ring_mini __P((struct ti_softc *));
+static void ti_free_rx_ring_mini __P((struct ti_softc *));
+static void ti_refill_rx_rings __P((struct ti_softc *));
+static void ti_free_tx_ring __P((struct ti_softc *));
+static int ti_init_tx_ring __P((struct ti_softc *));
+
+static int ti_64bitslot_war __P((struct ti_softc *));
+static int ti_chipinit __P((struct ti_softc *));
+static int ti_gibinit __P((struct ti_softc *));
+
+/*
+ * Send an instruction or address to the EEPROM, check for ACK.
+ */
+static u_int32_t ti_eeprom_putbyte(sc, byte)
+ struct ti_softc *sc;
+ int byte;
+{
+ register int i, ack = 0;
+
+ /*
+ * Make sure we're in TX mode.
+ */
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN);
+
+ /*
+ * Feed in each bit and stobe the clock.
+ */
+ for (i = 0x80; i; i >>= 1) {
+ if (byte & i) {
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT);
+ } else {
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT);
+ }
+ DELAY(1);
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ DELAY(1);
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ }
+
+ /*
+ * Turn off TX mode.
+ */
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN);
+
+ /*
+ * Check for ack.
+ */
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ ack = CSR_READ_4(sc, TI_MISC_LOCAL_CTL) & TI_MLC_EE_DIN;
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+
+ return(ack);
+}
+
+/*
+ * Read a byte of data stored in the EEPROM at address 'addr.'
+ * We have to send two address bytes since the EEPROM can hold
+ * more than 256 bytes of data.
+ */
+static u_int8_t ti_eeprom_getbyte(sc, addr, dest)
+ struct ti_softc *sc;
+ int addr;
+ u_int8_t *dest;
+{
+ register int i;
+ u_int8_t byte = 0;
+
+ EEPROM_START;
+
+ /*
+ * Send write control code to EEPROM.
+ */
+ if (ti_eeprom_putbyte(sc, EEPROM_CTL_WRITE)) {
+ printf("ti%d: failed to send write command, status: %x\n",
+ sc->ti_unit, CSR_READ_4(sc, TI_MISC_LOCAL_CTL));
+ return(1);
+ }
+
+ /*
+ * Send first byte of address of byte we want to read.
+ */
+ if (ti_eeprom_putbyte(sc, (addr >> 8) & 0xFF)) {
+ printf("ti%d: failed to send address, status: %x\n",
+ sc->ti_unit, CSR_READ_4(sc, TI_MISC_LOCAL_CTL));
+ return(1);
+ }
+ /*
+ * Send second byte address of byte we want to read.
+ */
+ if (ti_eeprom_putbyte(sc, addr & 0xFF)) {
+ printf("ti%d: failed to send address, status: %x\n",
+ sc->ti_unit, CSR_READ_4(sc, TI_MISC_LOCAL_CTL));
+ return(1);
+ }
+
+ EEPROM_STOP;
+ EEPROM_START;
+ /*
+ * Send read control code to EEPROM.
+ */
+ if (ti_eeprom_putbyte(sc, EEPROM_CTL_READ)) {
+ printf("ti%d: failed to send read command, status: %x\n",
+ sc->ti_unit, CSR_READ_4(sc, TI_MISC_LOCAL_CTL));
+ return(1);
+ }
+
+ /*
+ * Start reading bits from EEPROM.
+ */
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN);
+ for (i = 0x80; i; i >>= 1) {
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ DELAY(1);
+ if (CSR_READ_4(sc, TI_MISC_LOCAL_CTL) & TI_MLC_EE_DIN)
+ byte |= i;
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ DELAY(1);
+ }
+
+ EEPROM_STOP;
+
+ /*
+ * No ACK generated for read, so just return byte.
+ */
+
+ *dest = byte;
+
+ return(0);
+}
+
+/*
+ * Read a sequence of bytes from the EEPROM.
+ */
+static int ti_read_eeprom(sc, dest, off, cnt)
+ struct ti_softc *sc;
+ caddr_t dest;
+ int off;
+ int cnt;
+{
+ int err = 0, i;
+ u_int8_t byte = 0;
+
+ for (i = 0; i < cnt; i++) {
+ err = ti_eeprom_getbyte(sc, off + i, &byte);
+ if (err)
+ break;
+ *(dest + i) = byte;
+ }
+
+ return(err ? 1 : 0);
+}
+
+/*
+ * NIC memory access function. Can be used to either clear a section
+ * of NIC local memory or (if buf is non-NULL) copy data into it.
+ */
+static void ti_mem(sc, addr, len, buf)
+ struct ti_softc *sc;
+ u_int32_t addr, len;
+ caddr_t buf;
+{
+ int segptr, segsize, cnt;
+ caddr_t ti_winbase, ptr;
+
+ segptr = addr;
+ cnt = len;
+#ifdef __i386__
+ ti_winbase = (caddr_t)(sc->ti_bhandle + TI_WINDOW);
+#endif
+#ifdef __alpha__
+ ti_winbase = (caddr_t)(sc->ti_vhandle + TI_WINDOW);
+#endif
+ ptr = buf;
+
+ while(cnt) {
+ if (cnt < TI_WINLEN)
+ segsize = cnt;
+ else
+ segsize = TI_WINLEN - (segptr % TI_WINLEN);
+ CSR_WRITE_4(sc, TI_WINBASE, (segptr & ~(TI_WINLEN - 1)));
+ if (buf == NULL)
+ bzero((char *)ti_winbase + (segptr &
+ (TI_WINLEN - 1)), segsize);
+ else {
+ bcopy((char *)ptr, (char *)ti_winbase +
+ (segptr & (TI_WINLEN - 1)), segsize);
+ ptr += segsize;
+ }
+ segptr += segsize;
+ cnt -= segsize;
+ }
+
+ return;
+}
+
+/*
+ * Load firmware image into the NIC. Check that the firmware revision
+ * is acceptable and see if we want the firmware for the Tigon 1 or
+ * Tigon 2.
+ */
+static void ti_loadfw(sc)
+ struct ti_softc *sc;
+{
+ switch(sc->ti_hwrev) {
+ case TI_HWREV_TIGON:
+ if (tigonFwReleaseMajor != TI_FIRMWARE_MAJOR ||
+ tigonFwReleaseMinor != TI_FIRMWARE_MINOR ||
+ tigonFwReleaseFix != TI_FIRMWARE_FIX) {
+ printf("ti%d: firmware revision mismatch; want "
+ "%d.%d.%d, got %d.%d.%d\n", sc->ti_unit,
+ TI_FIRMWARE_MAJOR, TI_FIRMWARE_MINOR,
+ TI_FIRMWARE_FIX, tigonFwReleaseMajor,
+ tigonFwReleaseMinor, tigonFwReleaseFix);
+ return;
+ }
+ ti_mem(sc, tigonFwTextAddr, tigonFwTextLen,
+ (caddr_t)tigonFwText);
+ ti_mem(sc, tigonFwDataAddr, tigonFwDataLen,
+ (caddr_t)tigonFwData);
+ ti_mem(sc, tigonFwRodataAddr, tigonFwRodataLen,
+ (caddr_t)tigonFwRodata);
+ ti_mem(sc, tigonFwBssAddr, tigonFwBssLen, NULL);
+ ti_mem(sc, tigonFwSbssAddr, tigonFwSbssLen, NULL);
+ CSR_WRITE_4(sc, TI_CPU_PROGRAM_COUNTER, tigonFwStartAddr);
+ break;
+ case TI_HWREV_TIGON_II:
+ if (tigon2FwReleaseMajor != TI_FIRMWARE_MAJOR ||
+ tigon2FwReleaseMinor != TI_FIRMWARE_MINOR ||
+ tigon2FwReleaseFix != TI_FIRMWARE_FIX) {
+ printf("ti%d: firmware revision mismatch; want "
+ "%d.%d.%d, got %d.%d.%d\n", sc->ti_unit,
+ TI_FIRMWARE_MAJOR, TI_FIRMWARE_MINOR,
+ TI_FIRMWARE_FIX, tigon2FwReleaseMajor,
+ tigon2FwReleaseMinor, tigon2FwReleaseFix);
+ return;
+ }
+ ti_mem(sc, tigon2FwTextAddr, tigon2FwTextLen,
+ (caddr_t)tigon2FwText);
+ ti_mem(sc, tigon2FwDataAddr, tigon2FwDataLen,
+ (caddr_t)tigon2FwData);
+ ti_mem(sc, tigon2FwRodataAddr, tigon2FwRodataLen,
+ (caddr_t)tigon2FwRodata);
+ ti_mem(sc, tigon2FwBssAddr, tigon2FwBssLen, NULL);
+ ti_mem(sc, tigon2FwSbssAddr, tigon2FwSbssLen, NULL);
+ CSR_WRITE_4(sc, TI_CPU_PROGRAM_COUNTER, tigon2FwStartAddr);
+ break;
+ default:
+ printf("ti%d: can't load firmware: unknown hardware rev\n",
+ sc->ti_unit);
+ break;
+ }
+
+ return;
+}
+
+/*
+ * Send the NIC a command via the command ring.
+ */
+static void ti_cmd(sc, cmd)
+ struct ti_softc *sc;
+ struct ti_cmd_desc *cmd;
+{
+ u_int32_t index;
+
+ if (sc->ti_rdata->ti_cmd_ring == NULL)
+ return;
+
+ index = sc->ti_cmd_saved_prodidx;
+ CSR_WRITE_4(sc, TI_GCR_CMDRING + (index * 4), *(u_int32_t *)(cmd));
+ TI_INC(index, TI_CMD_RING_CNT);
+ CSR_WRITE_4(sc, TI_MB_CMDPROD_IDX, index);
+ sc->ti_cmd_saved_prodidx = index;
+
+ return;
+}
+
+/*
+ * Send the NIC an extended command. The 'len' parameter specifies the
+ * number of command slots to include after the initial command.
+ */
+static void ti_cmd_ext(sc, cmd, arg, len)
+ struct ti_softc *sc;
+ struct ti_cmd_desc *cmd;
+ caddr_t arg;
+ int len;
+{
+ u_int32_t index;
+ register int i;
+
+ if (sc->ti_rdata->ti_cmd_ring == NULL)
+ return;
+
+ index = sc->ti_cmd_saved_prodidx;
+ CSR_WRITE_4(sc, TI_GCR_CMDRING + (index * 4), *(u_int32_t *)(cmd));
+ TI_INC(index, TI_CMD_RING_CNT);
+ for (i = 0; i < len; i++) {
+ CSR_WRITE_4(sc, TI_GCR_CMDRING + (index * 4),
+ *(u_int32_t *)(&arg[i * 4]));
+ TI_INC(index, TI_CMD_RING_CNT);
+ }
+ CSR_WRITE_4(sc, TI_MB_CMDPROD_IDX, index);
+ sc->ti_cmd_saved_prodidx = index;
+
+ return;
+}
+
+/*
+ * Handle events that have triggered interrupts.
+ */
+static void ti_handle_events(sc)
+ struct ti_softc *sc;
+{
+ struct ti_event_desc *e;
+
+ if (sc->ti_rdata->ti_event_ring == NULL)
+ return;
+
+ while (sc->ti_ev_saved_considx != sc->ti_ev_prodidx.ti_idx) {
+ e = &sc->ti_rdata->ti_event_ring[sc->ti_ev_saved_considx];
+ switch(e->ti_event) {
+ case TI_EV_LINKSTAT_CHANGED:
+ sc->ti_linkstat = e->ti_code;
+ if (e->ti_code == TI_EV_CODE_LINK_UP)
+ printf("ti%d: 10/100 link up\n", sc->ti_unit);
+ else if (e->ti_code == TI_EV_CODE_GIG_LINK_UP)
+ printf("ti%d: gigabit link up\n", sc->ti_unit);
+ else if (e->ti_code == TI_EV_CODE_LINK_DOWN)
+ printf("ti%d: link down\n", sc->ti_unit);
+ break;
+ case TI_EV_ERROR:
+ if (e->ti_code == TI_EV_CODE_ERR_INVAL_CMD)
+ printf("ti%d: invalid command\n", sc->ti_unit);
+ else if (e->ti_code == TI_EV_CODE_ERR_UNIMP_CMD)
+ printf("ti%d: unknown command\n", sc->ti_unit);
+ else if (e->ti_code == TI_EV_CODE_ERR_BADCFG)
+ printf("ti%d: bad config data\n", sc->ti_unit);
+ break;
+ case TI_EV_FIRMWARE_UP:
+ ti_init2(sc);
+ break;
+ case TI_EV_STATS_UPDATED:
+ ti_stats_update(sc);
+ break;
+ case TI_EV_RESET_JUMBO_RING:
+ case TI_EV_MCAST_UPDATED:
+ /* Who cares. */
+ break;
+ default:
+ printf("ti%d: unknown event: %d\n",
+ sc->ti_unit, e->ti_event);
+ break;
+ }
+ /* Advance the consumer index. */
+ TI_INC(sc->ti_ev_saved_considx, TI_EVENT_RING_CNT);
+ CSR_WRITE_4(sc, TI_GCR_EVENTCONS_IDX, sc->ti_ev_saved_considx);
+ }
+
+ return;
+}
+
+/*
+ * Memory management for the jumbo receive ring is a pain in the
+ * butt. We need to allocate at least 9018 bytes of space per frame,
+ * _and_ it has to be contiguous (unless you use the extended
+ * jumbo descriptor format). Using malloc() all the time won't
+ * work: malloc() allocates memory in powers of two, which means we
+ * would end up wasting a considerable amount of space by allocating
+ * 9K chunks. We don't have a jumbo mbuf cluster pool. Thus, we have
+ * to do our own memory management.
+ *
+ * The driver needs to allocate a contiguous chunk of memory at boot
+ * time. We then chop this up ourselves into 9K pieces and use them
+ * as external mbuf storage.
+ *
+ * One issue here is how much memory to allocate. The jumbo ring has
+ * 256 slots in it, but at 9K per slot than can consume over 2MB of
+ * RAM. This is a bit much, especially considering we also need
+ * RAM for the standard ring and mini ring (on the Tigon 2). To
+ * save space, we only actually allocate enough memory for 64 slots
+ * by default, which works out to between 500 and 600K. This can
+ * be tuned by changing a #define in if_tireg.h.
+ */
+
+static int ti_alloc_jumbo_mem(sc)
+ struct ti_softc *sc;
+{
+ caddr_t ptr;
+ register int i;
+ struct ti_jpool_entry *entry;
+
+ /* Grab a big chunk o' storage. */
+ sc->ti_cdata.ti_jumbo_buf = contigmalloc(TI_JMEM, M_DEVBUF,
+ M_NOWAIT, 0x100000, 0xffffffff, PAGE_SIZE, 0);
+
+ if (sc->ti_cdata.ti_jumbo_buf == NULL) {
+ printf("ti%d: no memory for jumbo buffers!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+
+ SLIST_INIT(&sc->ti_jfree_listhead);
+ SLIST_INIT(&sc->ti_jinuse_listhead);
+
+ /*
+ * Now divide it up into 9K pieces and save the addresses
+ * in an array. Note that we play an evil trick here by using
+ * the first few bytes in the buffer to hold the the address
+ * of the softc structure for this interface. This is because
+ * ti_jfree() needs it, but it is called by the mbuf management
+ * code which will not pass it to us explicitly.
+ */
+ ptr = sc->ti_cdata.ti_jumbo_buf;
+ for (i = 0; i < TI_JSLOTS; i++) {
+ u_int64_t **aptr;
+ aptr = (u_int64_t **)ptr;
+ aptr[0] = (u_int64_t *)sc;
+ ptr += sizeof(u_int64_t);
+ sc->ti_cdata.ti_jslots[i].ti_buf = ptr;
+ sc->ti_cdata.ti_jslots[i].ti_inuse = 0;
+ ptr += (TI_JLEN - sizeof(u_int64_t));
+ entry = malloc(sizeof(struct ti_jpool_entry),
+ M_DEVBUF, M_NOWAIT);
+ if (entry == NULL) {
+ free(sc->ti_cdata.ti_jumbo_buf, M_DEVBUF);
+ sc->ti_cdata.ti_jumbo_buf = NULL;
+ printf("ti%d: no memory for jumbo "
+ "buffer queue!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+ entry->slot = i;
+ SLIST_INSERT_HEAD(&sc->ti_jfree_listhead, entry, jpool_entries);
+ }
+
+ return(0);
+}
+
+/*
+ * Allocate a jumbo buffer.
+ */
+static void *ti_jalloc(sc)
+ struct ti_softc *sc;
+{
+ struct ti_jpool_entry *entry;
+
+ entry = SLIST_FIRST(&sc->ti_jfree_listhead);
+
+ if (entry == NULL) {
+ printf("ti%d: no free jumbo buffers\n", sc->ti_unit);
+ return(NULL);
+ }
+
+ SLIST_REMOVE_HEAD(&sc->ti_jfree_listhead, jpool_entries);
+ SLIST_INSERT_HEAD(&sc->ti_jinuse_listhead, entry, jpool_entries);
+ sc->ti_cdata.ti_jslots[entry->slot].ti_inuse = 1;
+ return(sc->ti_cdata.ti_jslots[entry->slot].ti_buf);
+}
+
+/*
+ * Adjust usage count on a jumbo buffer. In general this doesn't
+ * get used much because our jumbo buffers don't get passed around
+ * too much, but it's implemented for correctness.
+ */
+static void ti_jref(buf, size)
+ caddr_t buf;
+ u_int size;
+{
+ struct ti_softc *sc;
+ u_int64_t **aptr;
+ register int i;
+
+ /* Extract the softc struct pointer. */
+ aptr = (u_int64_t **)(buf - sizeof(u_int64_t));
+ sc = (struct ti_softc *)(aptr[0]);
+
+ if (sc == NULL)
+ panic("ti_jref: can't find softc pointer!");
+
+ if (size != TI_JUMBO_FRAMELEN - ETHER_ALIGN)
+ panic("ti_jref: adjusting refcount of buf of wrong size!");
+
+ /* calculate the slot this buffer belongs to */
+
+ i = ((vm_offset_t)aptr
+ - (vm_offset_t)sc->ti_cdata.ti_jumbo_buf) / TI_JLEN;
+
+ if ((i < 0) || (i >= TI_JSLOTS))
+ panic("ti_jref: asked to reference buffer "
+ "that we don't manage!");
+ else if (sc->ti_cdata.ti_jslots[i].ti_inuse == 0)
+ panic("ti_jref: buffer already free!");
+ else
+ sc->ti_cdata.ti_jslots[i].ti_inuse++;
+
+ return;
+}
+
+/*
+ * Release a jumbo buffer.
+ */
+static void ti_jfree(buf, size)
+ caddr_t buf;
+ u_int size;
+{
+ struct ti_softc *sc;
+ u_int64_t **aptr;
+ int i;
+ struct ti_jpool_entry *entry;
+
+ /* Extract the softc struct pointer. */
+ aptr = (u_int64_t **)(buf - sizeof(u_int64_t));
+ sc = (struct ti_softc *)(aptr[0]);
+
+ if (sc == NULL)
+ panic("ti_jfree: can't find softc pointer!");
+
+ if (size != TI_JUMBO_FRAMELEN - ETHER_ALIGN)
+ panic("ti_jfree: freeing buffer of wrong size!");
+
+ /* calculate the slot this buffer belongs to */
+
+ i = ((vm_offset_t)aptr
+ - (vm_offset_t)sc->ti_cdata.ti_jumbo_buf) / TI_JLEN;
+
+ if ((i < 0) || (i >= TI_JSLOTS))
+ panic("ti_jfree: asked to free buffer that we don't manage!");
+ else if (sc->ti_cdata.ti_jslots[i].ti_inuse == 0)
+ panic("ti_jfree: buffer already free!");
+ else {
+ sc->ti_cdata.ti_jslots[i].ti_inuse--;
+ if(sc->ti_cdata.ti_jslots[i].ti_inuse == 0) {
+ entry = SLIST_FIRST(&sc->ti_jinuse_listhead);
+ if (entry == NULL)
+ panic("ti_jfree: buffer not in use!");
+ entry->slot = i;
+ SLIST_REMOVE_HEAD(&sc->ti_jinuse_listhead,
+ jpool_entries);
+ SLIST_INSERT_HEAD(&sc->ti_jfree_listhead,
+ entry, jpool_entries);
+ }
+ }
+
+ return;
+}
+
+
+/*
+ * Intialize a standard receive ring descriptor.
+ */
+static int ti_newbuf_std(sc, i, m)
+ struct ti_softc *sc;
+ int i;
+ struct mbuf *m;
+{
+ struct mbuf *m_new = NULL;
+ struct ti_rx_desc *r;
+
+ if (m != NULL) {
+ m_new = m;
+ } else {
+ MGETHDR(m_new, M_DONTWAIT, MT_DATA);
+ if (m_new == NULL) {
+ printf("ti%d: mbuf allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+
+ MCLGET(m_new, M_DONTWAIT);
+ if (!(m_new->m_flags & M_EXT)) {
+ printf("ti%d: cluster allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ m_freem(m_new);
+ return(ENOBUFS);
+ }
+ }
+
+ m_new->m_len -= ETHER_ALIGN;
+ m_new->m_data += ETHER_ALIGN;
+ sc->ti_cdata.ti_rx_std_chain[i] = m_new;
+ r = &sc->ti_rdata->ti_rx_std_ring[i];
+ TI_HOSTADDR(r->ti_addr) = vtophys(mtod(m_new, caddr_t));
+ r->ti_type = TI_BDTYPE_RECV_BD;
+#ifdef TI_CSUM_OFFLOAD
+ r->ti_flags = TI_BDFLAG_TCP_UDP_CKSUM|TI_BDFLAG_IP_CKSUM;
+#else
+ r->ti_flags = 0;
+#endif
+ r->ti_len = MCLBYTES - ETHER_ALIGN;
+ r->ti_idx = i;
+
+ return(0);
+}
+
+/*
+ * Intialize a mini receive ring descriptor. This only applies to
+ * the Tigon 2.
+ */
+static int ti_newbuf_mini(sc, i, m)
+ struct ti_softc *sc;
+ int i;
+ struct mbuf *m;
+{
+ struct mbuf *m_new = NULL;
+ struct ti_rx_desc *r;
+
+ if (m != NULL) {
+ m_new = m;
+ } else {
+ MGETHDR(m_new, M_DONTWAIT, MT_DATA);
+ if (m_new == NULL) {
+ printf("ti%d: mbuf allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+ }
+ m_new->m_len -= ETHER_ALIGN;
+ m_new->m_data += ETHER_ALIGN;
+ r = &sc->ti_rdata->ti_rx_mini_ring[i];
+ sc->ti_cdata.ti_rx_mini_chain[i] = m_new;
+ TI_HOSTADDR(r->ti_addr) = vtophys(mtod(m_new, caddr_t));
+ r->ti_type = TI_BDTYPE_RECV_BD;
+ r->ti_flags = TI_BDFLAG_MINI_RING;
+#ifdef TI_CSUM_OFFLOAD
+ r->ti_flags |= TI_BDFLAG_TCP_UDP_CKSUM|TI_BDFLAG_IP_CKSUM;
+#endif
+ r->ti_len = MHLEN - ETHER_ALIGN;
+ r->ti_idx = i;
+
+ return(0);
+}
+
+/*
+ * Initialize a jumbo receive ring descriptor. This allocates
+ * a jumbo buffer from the pool managed internally by the driver.
+ */
+static int ti_newbuf_jumbo(sc, i, m)
+ struct ti_softc *sc;
+ int i;
+ struct mbuf *m;
+{
+ struct mbuf *m_new = NULL;
+ struct ti_rx_desc *r;
+
+ if (m != NULL) {
+ m_new = m;
+ } else {
+ caddr_t *buf = NULL;
+
+ /* Allocate the mbuf. */
+ MGETHDR(m_new, M_DONTWAIT, MT_DATA);
+ if (m_new == NULL) {
+ printf("ti%d: mbuf allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+
+ /* Allocate the jumbo buffer */
+ buf = ti_jalloc(sc);
+ if (buf == NULL) {
+ m_freem(m_new);
+ printf("ti%d: jumbo allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+
+ /* Attach the buffer to the mbuf. */
+ m_new->m_data = m_new->m_ext.ext_buf = (void *)buf;
+ m_new->m_data += ETHER_ALIGN;
+ m_new->m_flags |= M_EXT;
+ m_new->m_ext.ext_size = TI_JUMBO_FRAMELEN - ETHER_ALIGN;
+ m_new->m_ext.ext_free = ti_jfree;
+ m_new->m_ext.ext_ref = ti_jref;
+ }
+
+ /* Set up the descriptor. */
+ r = &sc->ti_rdata->ti_rx_jumbo_ring[i];
+ sc->ti_cdata.ti_rx_jumbo_chain[i] = m_new;
+ TI_HOSTADDR(r->ti_addr) = vtophys(mtod(m_new, caddr_t));
+ r->ti_type = TI_BDTYPE_RECV_JUMBO_BD;
+ r->ti_flags = TI_BDFLAG_JUMBO_RING;
+#ifdef TI_CSUM_OFFLOAD
+ r->ti_flags |= TI_BDFLAG_TCP_UDP_CKSUM|TI_BDFLAG_IP_CKSUM;
+#endif
+ r->ti_len = TI_JUMBO_FRAMELEN - ETHER_ALIGN;
+ r->ti_idx = i;
+
+ return(0);
+}
+
+/*
+ * The standard receive ring has 512 entries in it. At 2K per mbuf cluster,
+ * that's 1MB or memory, which is a lot. For now, we fill only the first
+ * 256 ring entries and hope that our CPU is fast enough to keep up with
+ * the NIC.
+ */
+static int ti_init_rx_ring_std(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+ struct ti_cmd_desc cmd;
+
+ for (i = 0; i < TI_SSLOTS; i++) {
+ if (ti_newbuf_std(sc, i, NULL) == ENOBUFS)
+ return(ENOBUFS);
+ };
+
+ TI_UPDATE_STDPROD(sc, i - 1);
+ sc->ti_std_old = sc->ti_std = i - 1;
+ sc->ti_std_cnt = 0;
+
+ return(0);
+}
+
+static void ti_free_rx_ring_std(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ for (i = 0; i < TI_STD_RX_RING_CNT; i++) {
+ if (sc->ti_cdata.ti_rx_std_chain[i] != NULL) {
+ m_freem(sc->ti_cdata.ti_rx_std_chain[i]);
+ sc->ti_cdata.ti_rx_std_chain[i] = NULL;
+ }
+ bzero((char *)&sc->ti_rdata->ti_rx_std_ring[i],
+ sizeof(struct ti_rx_desc));
+ }
+
+ return;
+}
+
+static int ti_init_rx_ring_jumbo(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+ struct ti_cmd_desc cmd;
+
+ for (i = 0; i < (TI_JSLOTS - 20); i++) {
+ if (ti_newbuf_jumbo(sc, i, NULL) == ENOBUFS)
+ return(ENOBUFS);
+ };
+
+ TI_UPDATE_JUMBOPROD(sc, i - 1);
+ sc->ti_jumbo_old = sc->ti_jumbo = i - 1;
+ sc->ti_jumbo_cnt = 0;
+
+ return(0);
+}
+
+static void ti_free_rx_ring_jumbo(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ for (i = 0; i < TI_JUMBO_RX_RING_CNT; i++) {
+ if (sc->ti_cdata.ti_rx_jumbo_chain[i] != NULL) {
+ m_freem(sc->ti_cdata.ti_rx_jumbo_chain[i]);
+ sc->ti_cdata.ti_rx_jumbo_chain[i] = NULL;
+ }
+ bzero((char *)&sc->ti_rdata->ti_rx_jumbo_ring[i],
+ sizeof(struct ti_rx_desc));
+ }
+
+ return;
+}
+
+static int ti_init_rx_ring_mini(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ for (i = 0; i < TI_MSLOTS; i++) {
+ if (ti_newbuf_mini(sc, i, NULL) == ENOBUFS)
+ return(ENOBUFS);
+ };
+
+ TI_UPDATE_MINIPROD(sc, i - 1);
+ sc->ti_mini_old = sc->ti_mini = i - 1;
+ sc->ti_mini_cnt = 0;
+
+ return(0);
+}
+
+static void ti_free_rx_ring_mini(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ for (i = 0; i < TI_MINI_RX_RING_CNT; i++) {
+ if (sc->ti_cdata.ti_rx_mini_chain[i] != NULL) {
+ m_freem(sc->ti_cdata.ti_rx_mini_chain[i]);
+ sc->ti_cdata.ti_rx_mini_chain[i] = NULL;
+ }
+ bzero((char *)&sc->ti_rdata->ti_rx_mini_ring[i],
+ sizeof(struct ti_rx_desc));
+ }
+
+ return;
+}
+
+/*
+ * In order to reduce the amount of work we have to do in the interrupt
+ * handler, we delay putting new buffers in the receive rings until a
+ * certain amount have been used. This lets us hand over descriptors to
+ * the NIC in fairly large chunks instead of one (or a few) at a time,
+ * and it lets tx_rxeof() run a bit faster some of the time.
+ */
+static void ti_refill_rx_rings(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+ struct ti_cmd_desc cmd;
+
+ if (sc->ti_std_cnt > 15) {
+ for (i = sc->ti_std_old; i != sc->ti_std;
+ TI_INC(i, TI_STD_RX_RING_CNT)) {
+ if (ti_newbuf_std(sc, i, NULL) == ENOBUFS)
+ break;
+ };
+ TI_UPDATE_STDPROD(sc, i);
+ sc->ti_std_old = i;
+ sc->ti_std_cnt = 0;
+ }
+
+ if (sc->ti_jumbo_cnt > 15) {
+ for (i = sc->ti_jumbo_old; i != sc->ti_jumbo;
+ TI_INC(i, TI_JUMBO_RX_RING_CNT)) {
+ if (ti_newbuf_jumbo(sc, i, NULL) == ENOBUFS)
+ break;
+ };
+ TI_UPDATE_JUMBOPROD(sc, i);
+ sc->ti_jumbo_old = i;
+ sc->ti_jumbo_cnt = 0;
+ }
+
+ if (sc->ti_mini_cnt > 15) {
+ for (i = sc->ti_mini_old; i != sc->ti_mini;
+ TI_INC(i, TI_MINI_RX_RING_CNT)) {
+ if (ti_newbuf_mini(sc, i, NULL) == ENOBUFS)
+ break;
+ };
+ TI_UPDATE_MINIPROD(sc, i);
+ sc->ti_mini_old = i;
+ sc->ti_mini_cnt = 0;
+ }
+
+ return;
+}
+
+static void ti_free_tx_ring(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ if (sc->ti_rdata->ti_tx_ring == NULL)
+ return;
+
+ for (i = 0; i < TI_TX_RING_CNT; i++) {
+ if (sc->ti_cdata.ti_tx_chain[i] != NULL) {
+ m_freem(sc->ti_cdata.ti_tx_chain[i]);
+ sc->ti_cdata.ti_tx_chain[i] = NULL;
+ }
+ bzero((char *)&sc->ti_rdata->ti_tx_ring[i],
+ sizeof(struct ti_tx_desc));
+ }
+
+ return;
+}
+
+static int ti_init_tx_ring(sc)
+ struct ti_softc *sc;
+{
+ sc->ti_tx_saved_considx = 0;
+ CSR_WRITE_4(sc, TI_MB_SENDPROD_IDX, 0);
+ return(0);
+}
+
+/*
+ * The Tigon 2 firmware has a new way to add/delete multicast addresses,
+ * but we have to support the old way too so that Tigon 1 cards will
+ * work.
+ */
+void ti_add_mcast(sc, addr)
+ struct ti_softc *sc;
+ struct ether_addr *addr;
+{
+ struct ti_cmd_desc cmd;
+ u_int16_t *m;
+ u_int32_t ext[2] = {0, 0};
+
+ m = (u_int16_t *)&addr->octet[0];
+
+ switch(sc->ti_hwrev) {
+ case TI_HWREV_TIGON:
+ CSR_WRITE_4(sc, TI_GCR_MAR0, htons(m[0]));
+ CSR_WRITE_4(sc, TI_GCR_MAR1, (htons(m[1]) << 16) | htons(m[2]));
+ TI_DO_CMD(TI_CMD_ADD_MCAST_ADDR, 0, 0);
+ break;
+ case TI_HWREV_TIGON_II:
+ ext[0] = htons(m[0]);
+ ext[1] = (htons(m[1]) << 16) | htons(m[2]);
+ TI_DO_CMD_EXT(TI_CMD_EXT_ADD_MCAST, 0, 0, (caddr_t)&ext, 2);
+ break;
+ default:
+ printf("ti%d: unknown hwrev\n", sc->ti_unit);
+ break;
+ }
+
+ return;
+}
+
+void ti_del_mcast(sc, addr)
+ struct ti_softc *sc;
+ struct ether_addr *addr;
+{
+ struct ti_cmd_desc cmd;
+ u_int16_t *m;
+ u_int32_t ext[2] = {0, 0};
+
+ m = (u_int16_t *)&addr->octet[0];
+
+ switch(sc->ti_hwrev) {
+ case TI_HWREV_TIGON:
+ CSR_WRITE_4(sc, TI_GCR_MAR0, htons(m[0]));
+ CSR_WRITE_4(sc, TI_GCR_MAR1, (htons(m[1]) << 16) | htons(m[2]));
+ TI_DO_CMD(TI_CMD_DEL_MCAST_ADDR, 0, 0);
+ break;
+ case TI_HWREV_TIGON_II:
+ ext[0] = htons(m[0]);
+ ext[1] = (htons(m[1]) << 16) | htons(m[2]);
+ TI_DO_CMD_EXT(TI_CMD_EXT_DEL_MCAST, 0, 0, (caddr_t)&ext, 2);
+ break;
+ default:
+ printf("ti%d: unknown hwrev\n", sc->ti_unit);
+ break;
+ }
+
+ return;
+}
+
+/*
+ * Configure the Tigon's multicast address filter.
+ *
+ * The actual multicast table management is a bit of a pain, thanks to
+ * slight brain damage on the part of both Alteon and us. With our
+ * multicast code, we are only alerted when the multicast address table
+ * changes and at that point we only have the current list of addresses:
+ * we only know the current state, not the previous state, so we don't
+ * actually know what addresses were removed or added. The firmware has
+ * state, but we can't get our grubby mits on it, and there is no 'delete
+ * all multicast addresses' command. Hence, we have to maintain our own
+ * state so we know what addresses have been programmed into the NIC at
+ * any given time.
+ */
+static void ti_setmulti(sc)
+ struct ti_softc *sc;
+{
+ struct ifnet *ifp;
+ struct ifmultiaddr *ifma;
+ struct ti_cmd_desc cmd;
+ struct ti_mc_entry *mc;
+ u_int32_t intrs;
+
+ ifp = &sc->arpcom.ac_if;
+
+ if (ifp->if_flags & IFF_ALLMULTI) {
+ TI_DO_CMD(TI_CMD_SET_ALLMULTI, TI_CMD_CODE_ALLMULTI_ENB, 0);
+ return;
+ } else {
+ TI_DO_CMD(TI_CMD_SET_ALLMULTI, TI_CMD_CODE_ALLMULTI_DIS, 0);
+ }
+
+ /* Disable interrupts. */
+ intrs = CSR_READ_4(sc, TI_MB_HOSTINTR);
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 1);
+
+ /* First, zot all the existing filters. */
+ while (sc->ti_mc_listhead.slh_first != NULL) {
+ mc = sc->ti_mc_listhead.slh_first;
+ ti_del_mcast(sc, &mc->mc_addr);
+ SLIST_REMOVE_HEAD(&sc->ti_mc_listhead, mc_entries);
+ free(mc, M_DEVBUF);
+ }
+
+ /* Now program new ones. */
+ for (ifma = ifp->if_multiaddrs.lh_first;
+ ifma != NULL; ifma = ifma->ifma_link.le_next) {
+ if (ifma->ifma_addr->sa_family != AF_LINK)
+ continue;
+ mc = malloc(sizeof(struct ti_mc_entry), M_DEVBUF, M_NOWAIT);
+ bcopy(LLADDR((struct sockaddr_dl *)ifma->ifma_addr),
+ (char *)&mc->mc_addr, ETHER_ADDR_LEN);
+ SLIST_INSERT_HEAD(&sc->ti_mc_listhead, mc, mc_entries);
+ ti_add_mcast(sc, &mc->mc_addr);
+ }
+
+ /* Re-enable interrupts. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, intrs);
+
+ return;
+}
+
+/*
+ * Check to see if the BIOS has configured us for a 64 bit slot when
+ * we aren't actually in one. If we detect this condition, we can work
+ * around it on the Tigon 2 by setting a bit in the PCI state register,
+ * but for the Tigon 1 we must give up and abort the interface attach.
+ */
+static int ti_64bitslot_war(sc)
+ struct ti_softc *sc;
+{
+ if (!(CSR_READ_4(sc, TI_PCI_STATE) & TI_PCISTATE_32BIT_BUS)) {
+ CSR_WRITE_4(sc, 0x600, 0);
+ CSR_WRITE_4(sc, 0x604, 0);
+ CSR_WRITE_4(sc, 0x600, 0x5555AAAA);
+ if (CSR_READ_4(sc, 0x604) == 0x5555AAAA) {
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ return(EINVAL);
+ else {
+ TI_SETBIT(sc, TI_PCI_STATE,
+ TI_PCISTATE_32BIT_BUS);
+ return(0);
+ }
+ }
+ }
+
+ return(0);
+}
+
+/*
+ * Do endian, PCI and DMA initialization. Also check the on-board ROM
+ * self-test results.
+ */
+static int ti_chipinit(sc)
+ struct ti_softc *sc;
+{
+ u_int32_t cacheline;
+ u_int32_t pci_writemax = 0;
+
+ /* Initialize link to down state. */
+ sc->ti_linkstat = TI_EV_CODE_LINK_DOWN;
+
+ /* Set endianness before we access any non-PCI registers. */
+#if BYTE_ORDER == BIG_ENDIAN
+ CSR_WRITE_4(sc, TI_MISC_HOST_CTL,
+ TI_MHC_BIGENDIAN_INIT | (TI_MHC_BIGENDIAN_INIT << 24));
+#else
+ CSR_WRITE_4(sc, TI_MISC_HOST_CTL,
+ TI_MHC_LITTLEENDIAN_INIT | (TI_MHC_LITTLEENDIAN_INIT << 24));
+#endif
+
+ /* Check the ROM failed bit to see if self-tests passed. */
+ if (CSR_READ_4(sc, TI_CPU_STATE) & TI_CPUSTATE_ROMFAIL) {
+ printf("ti%d: board self-diagnostics failed!\n", sc->ti_unit);
+ return(ENODEV);
+ }
+
+ /* Halt the CPU. */
+ TI_SETBIT(sc, TI_CPU_STATE, TI_CPUSTATE_HALT);
+
+ /* Figure out the hardware revision. */
+ switch(CSR_READ_4(sc, TI_MISC_HOST_CTL) & TI_MHC_CHIP_REV_MASK) {
+ case TI_REV_TIGON_I:
+ sc->ti_hwrev = TI_HWREV_TIGON;
+ break;
+ case TI_REV_TIGON_II:
+ sc->ti_hwrev = TI_HWREV_TIGON_II;
+ break;
+ default:
+ printf("ti%d: unsupported chip revision\n", sc->ti_unit);
+ return(ENODEV);
+ }
+
+ /* Do special setup for Tigon 2. */
+ if (sc->ti_hwrev == TI_HWREV_TIGON_II) {
+ TI_SETBIT(sc, TI_CPU_CTL_B, TI_CPUSTATE_HALT);
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_SRAM_BANK_256K);
+ TI_SETBIT(sc, TI_MISC_CONF, TI_MCR_SRAM_SYNCHRONOUS);
+ }
+
+ /* Set up the PCI state register. */
+ CSR_WRITE_4(sc, TI_PCI_STATE, TI_PCI_READ_CMD|TI_PCI_WRITE_CMD);
+ if (sc->ti_hwrev == TI_HWREV_TIGON_II) {
+ TI_SETBIT(sc, TI_PCI_STATE, TI_PCISTATE_USE_MEM_RD_MULT);
+ }
+
+ /* Clear the read/write max DMA parameters. */
+ TI_CLRBIT(sc, TI_PCI_STATE, (TI_PCISTATE_WRITE_MAXDMA|
+ TI_PCISTATE_READ_MAXDMA));
+
+ /* Get cache line size. */
+ cacheline = CSR_READ_4(sc, TI_PCI_BIST) & 0xFF;
+
+ /*
+ * If the system has set enabled the PCI memory write
+ * and invalidate command in the command register, set
+ * the write max parameter accordingly. This is necessary
+ * to use MWI with the Tigon 2.
+ */
+ if (CSR_READ_4(sc, TI_PCI_CMDSTAT) & PCIM_CMD_MWIEN) {
+ switch(cacheline) {
+ case 1:
+ case 4:
+ case 8:
+ case 16:
+ case 32:
+ case 64:
+ break;
+ default:
+ /* Disable PCI memory write and invalidate. */
+ if (bootverbose)
+ printf("ti%d: cache line size %d not "
+ "supported; disabling PCI MWI\n",
+ sc->ti_unit, cacheline);
+ CSR_WRITE_4(sc, TI_PCI_CMDSTAT, CSR_READ_4(sc,
+ TI_PCI_CMDSTAT) & ~PCIM_CMD_MWIEN);
+ break;
+ }
+ }
+
+#ifdef __brokenalpha__
+ /*
+ * From the Alteon sample driver:
+ * Must insure that we do not cross an 8K (bytes) boundary
+ * for DMA reads. Our highest limit is 1K bytes. This is a
+ * restriction on some ALPHA platforms with early revision
+ * 21174 PCI chipsets, such as the AlphaPC 164lx
+ */
+ TI_SETBIT(sc, TI_PCI_STATE, pci_writemax|TI_PCI_READMAX_1024);
+#else
+ TI_SETBIT(sc, TI_PCI_STATE, pci_writemax);
+#endif
+
+ /* This sets the min dma param all the way up (0xff). */
+ TI_SETBIT(sc, TI_PCI_STATE, TI_PCISTATE_MINDMA);
+
+ /* Configure DMA variables. */
+#if BYTE_ORDER == BIG_ENDIAN
+ CSR_WRITE_4(sc, TI_GCR_OPMODE, TI_OPMODE_BYTESWAP_BD |
+ TI_OPMODE_BYTESWAP_DATA | TI_OPMODE_WORDSWAP_BD |
+ TI_OPMODE_WARN_ENB | TI_OPMODE_FATAL_ENB |
+ TI_OPMODE_DONT_FRAG_JUMBO);
+#else
+ CSR_WRITE_4(sc, TI_GCR_OPMODE, TI_OPMODE_BYTESWAP_DATA|
+ TI_OPMODE_WORDSWAP_BD|TI_OPMODE_DONT_FRAG_JUMBO|
+ TI_OPMODE_WARN_ENB|TI_OPMODE_FATAL_ENB);
+#endif
+
+ /*
+ * Only allow 1 DMA channel to be active at a time.
+ * I don't think this is a good idea, but without it
+ * the firmware racks up lots of nicDmaReadRingFull
+ * errors.
+ */
+#ifndef TI_CSUM_OFFLOAD
+ TI_SETBIT(sc, TI_GCR_OPMODE, TI_OPMODE_1_DMA_ACTIVE);
+#endif
+
+ /* Recommended settings from Tigon manual. */
+ CSR_WRITE_4(sc, TI_GCR_DMA_WRITECFG, TI_DMA_STATE_THRESH_8W);
+ CSR_WRITE_4(sc, TI_GCR_DMA_READCFG, TI_DMA_STATE_THRESH_8W);
+
+ if (ti_64bitslot_war(sc)) {
+ printf("ti%d: bios thinks we're in a 64 bit slot, "
+ "but we aren't", sc->ti_unit);
+ return(EINVAL);
+ }
+
+ return(0);
+}
+
+/*
+ * Initialize the general information block and firmware, and
+ * start the CPU(s) running.
+ */
+static int ti_gibinit(sc)
+ struct ti_softc *sc;
+{
+ struct ti_rcb *rcb;
+ int i;
+ struct ifnet *ifp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ /* Disable interrupts for now. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 1);
+
+ /* Tell the chip where to find the general information block. */
+ CSR_WRITE_4(sc, TI_GCR_GENINFO_HI, 0);
+ CSR_WRITE_4(sc, TI_GCR_GENINFO_LO, vtophys(&sc->ti_rdata->ti_info));
+
+ /* Load the firmware into SRAM. */
+ ti_loadfw(sc);
+
+ /* Set up the contents of the general info and ring control blocks. */
+
+ /* Set up the event ring and producer pointer. */
+ rcb = &sc->ti_rdata->ti_info.ti_ev_rcb;
+
+ TI_HOSTADDR(rcb->ti_hostaddr) = vtophys(&sc->ti_rdata->ti_event_ring);
+ rcb->ti_flags = 0;
+ TI_HOSTADDR(sc->ti_rdata->ti_info.ti_ev_prodidx_ptr) =
+ vtophys(&sc->ti_ev_prodidx);
+ sc->ti_ev_prodidx.ti_idx = 0;
+ CSR_WRITE_4(sc, TI_GCR_EVENTCONS_IDX, 0);
+ sc->ti_ev_saved_considx = 0;
+
+ /* Set up the command ring and producer mailbox. */
+ rcb = &sc->ti_rdata->ti_info.ti_cmd_rcb;
+
+#ifdef __i386__
+ sc->ti_rdata->ti_cmd_ring =
+ (struct ti_cmd_desc *)(sc->ti_bhandle + TI_GCR_CMDRING);
+#endif
+#ifdef __alpha__
+ sc->ti_rdata->ti_cmd_ring =
+ (struct ti_cmd_desc *)(sc->ti_vhandle + TI_GCR_CMDRING);
+#endif
+ TI_HOSTADDR(rcb->ti_hostaddr) = TI_GCR_NIC_ADDR(TI_GCR_CMDRING);
+ rcb->ti_flags = 0;
+ rcb->ti_max_len = 0;
+ for (i = 0; i < TI_CMD_RING_CNT; i++) {
+ CSR_WRITE_4(sc, TI_GCR_CMDRING + (i * 4), 0);
+ }
+ CSR_WRITE_4(sc, TI_GCR_CMDCONS_IDX, 0);
+ CSR_WRITE_4(sc, TI_MB_CMDPROD_IDX, 0);
+ sc->ti_cmd_saved_prodidx = 0;
+
+ /*
+ * Assign the address of the stats refresh buffer.
+ * We re-use the current stats buffer for this to
+ * conserve memory.
+ */
+ TI_HOSTADDR(sc->ti_rdata->ti_info.ti_refresh_stats_ptr) =
+ vtophys(&sc->ti_rdata->ti_info.ti_stats);
+
+ /* Set up the standard receive ring. */
+ rcb = &sc->ti_rdata->ti_info.ti_std_rx_rcb;
+ TI_HOSTADDR(rcb->ti_hostaddr) = vtophys(&sc->ti_rdata->ti_rx_std_ring);
+ rcb->ti_max_len = TI_FRAMELEN;
+ rcb->ti_flags = 0;
+#ifdef TI_CSUM_OFFLOAD
+ rcb->ti_flags |= TI_RCB_FLAG_TCP_UDP_CKSUM|TI_RCB_FLAG_IP_CKSUM;
+#endif
+#if NVLAN > 0
+ rcb->ti_flags |= TI_RCB_FLAG_VLAN_ASSIST;
+#endif
+
+ /* Set up the jumbo receive ring. */
+ rcb = &sc->ti_rdata->ti_info.ti_jumbo_rx_rcb;
+ TI_HOSTADDR(rcb->ti_hostaddr) =
+ vtophys(&sc->ti_rdata->ti_rx_jumbo_ring);
+ rcb->ti_max_len = TI_JUMBO_FRAMELEN - ETHER_ALIGN;
+ rcb->ti_flags = 0;
+#ifdef TI_CSUM_OFFLOAD
+ rcb->ti_flags |= TI_RCB_FLAG_TCP_UDP_CKSUM|TI_RCB_FLAG_IP_CKSUM;
+#endif
+#if NVLAN > 0
+ rcb->ti_flags |= TI_RCB_FLAG_VLAN_ASSIST;
+#endif
+
+ /*
+ * Set up the mini ring. Only activated on the
+ * Tigon 2 but the slot in the config block is
+ * still there on the Tigon 1.
+ */
+ rcb = &sc->ti_rdata->ti_info.ti_mini_rx_rcb;
+ TI_HOSTADDR(rcb->ti_hostaddr) =
+ vtophys(&sc->ti_rdata->ti_rx_mini_ring);
+ rcb->ti_max_len = MHLEN;
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ rcb->ti_flags = TI_RCB_FLAG_RING_DISABLED;
+ else
+ rcb->ti_flags = 0;
+#ifdef TI_CSUM_OFFLOAD
+ rcb->ti_flags |= TI_RCB_FLAG_TCP_UDP_CKSUM|TI_RCB_FLAG_IP_CKSUM;
+#endif
+#if NVLAN > 0
+ rcb->ti_flags |= TI_RCB_FLAG_VLAN_ASSIST;
+#endif
+
+ /*
+ * Set up the receive return ring.
+ */
+ rcb = &sc->ti_rdata->ti_info.ti_return_rcb;
+ TI_HOSTADDR(rcb->ti_hostaddr) =
+ vtophys(&sc->ti_rdata->ti_rx_return_ring);
+ rcb->ti_flags = 0;
+ rcb->ti_max_len = TI_RETURN_RING_CNT;
+ TI_HOSTADDR(sc->ti_rdata->ti_info.ti_return_prodidx_ptr) =
+ vtophys(&sc->ti_return_prodidx);
+
+ /*
+ * Set up the tx ring. Note: for the Tigon 2, we have the option
+ * of putting the transmit ring in the host's address space and
+ * letting the chip DMA it instead of leaving the ring in the NIC's
+ * memory and accessing it through the shared memory region. We
+ * do this for the Tigon 2, but it doesn't work on the Tigon 1,
+ * so we have to revert to the shared memory scheme if we detect
+ * a Tigon 1 chip.
+ */
+ CSR_WRITE_4(sc, TI_WINBASE, TI_TX_RING_BASE);
+ if (sc->ti_hwrev == TI_HWREV_TIGON) {
+#ifdef __i386__
+ sc->ti_rdata->ti_tx_ring_nic =
+ (struct ti_tx_desc *)(sc->ti_bhandle + TI_WINDOW);
+#endif
+#ifdef __alpha__
+ sc->ti_rdata->ti_tx_ring_nic =
+ (struct ti_tx_desc *)(sc->ti_vhandle + TI_WINDOW);
+#endif
+ }
+ bzero((char *)sc->ti_rdata->ti_tx_ring,
+ TI_TX_RING_CNT * sizeof(struct ti_tx_desc));
+ rcb = &sc->ti_rdata->ti_info.ti_tx_rcb;
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ rcb->ti_flags = 0;
+ else
+ rcb->ti_flags = TI_RCB_FLAG_HOST_RING;
+#if NVLAN > 0
+ rcb->ti_flags |= TI_RCB_FLAG_VLAN_ASSIST;
+#endif
+ rcb->ti_max_len = TI_TX_RING_CNT;
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ TI_HOSTADDR(rcb->ti_hostaddr) = TI_TX_RING_BASE;
+ else
+ TI_HOSTADDR(rcb->ti_hostaddr) =
+ vtophys(&sc->ti_rdata->ti_tx_ring);
+ TI_HOSTADDR(sc->ti_rdata->ti_info.ti_tx_considx_ptr) =
+ vtophys(&sc->ti_tx_considx);
+
+ /* Set up tuneables */
+ if (ifp->if_mtu > (ETHERMTU + ETHER_HDR_LEN + ETHER_CRC_LEN))
+ CSR_WRITE_4(sc, TI_GCR_RX_COAL_TICKS,
+ (sc->ti_rx_coal_ticks / 10));
+ else
+ CSR_WRITE_4(sc, TI_GCR_RX_COAL_TICKS, sc->ti_rx_coal_ticks);
+ CSR_WRITE_4(sc, TI_GCR_TX_COAL_TICKS, sc->ti_tx_coal_ticks);
+ CSR_WRITE_4(sc, TI_GCR_STAT_TICKS, sc->ti_stat_ticks);
+ CSR_WRITE_4(sc, TI_GCR_RX_MAX_COAL_BD, sc->ti_rx_max_coal_bds);
+ CSR_WRITE_4(sc, TI_GCR_TX_MAX_COAL_BD, sc->ti_tx_max_coal_bds);
+ CSR_WRITE_4(sc, TI_GCR_TX_BUFFER_RATIO, sc->ti_tx_buf_ratio);
+
+ /* Turn interrupts on. */
+ CSR_WRITE_4(sc, TI_GCR_MASK_INTRS, 0);
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 0);
+
+ /* Start CPU. */
+ TI_CLRBIT(sc, TI_CPU_STATE, (TI_CPUSTATE_HALT|TI_CPUSTATE_STEP));
+
+ return(0);
+}
+
+/*
+ * Probe for a Tigon chip. Check the PCI vendor and device IDs
+ * against our list and return its name if we find a match.
+ */
+static const char *
+ti_probe(config_id, device_id)
+ pcici_t config_id;
+ pcidi_t device_id;
+{
+ struct ti_type *t;
+
+ t = ti_devs;
+
+ while(t->ti_name != NULL) {
+ if ((device_id & 0xFFFF) == t->ti_vid &&
+ ((device_id >> 16) & 0xFFFF) == t->ti_did)
+ return(t->ti_name);
+ t++;
+ }
+
+ return(NULL);
+}
+
+
+static void
+ti_attach(config_id, unit)
+ pcici_t config_id;
+ int unit;
+{
+ vm_offset_t pbase, vbase;
+ int s;
+ u_int32_t command;
+ struct ifnet *ifp;
+ struct ti_softc *sc;
+
+ s = splimp();
+
+ /* First, allocate memory for the softc struct. */
+ sc = malloc(sizeof(struct ti_softc), M_DEVBUF, M_NOWAIT);
+ if (sc == NULL) {
+ printf("ti%d: no memory for softc struct!\n", unit);
+ goto fail;
+ }
+
+ bzero(sc, sizeof(struct ti_softc));
+
+ /*
+ * Map control/status registers.
+ */
+ command = pci_conf_read(config_id, PCI_COMMAND_STATUS_REG);
+ command |= (PCIM_CMD_MEMEN|PCIM_CMD_BUSMASTEREN);
+ pci_conf_write(config_id, PCI_COMMAND_STATUS_REG, command);
+ command = pci_conf_read(config_id, PCI_COMMAND_STATUS_REG);
+
+ if (!(command & PCIM_CMD_MEMEN)) {
+ printf("ti%d: failed to enable memory mapping!\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+#ifdef __i386__
+ if (!pci_map_mem(config_id, TI_PCI_LOMEM, &vbase, &pbase)) {
+ printf ("ti%d: couldn't map memory\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ sc->ti_bhandle = vbase;
+ sc->ti_btag = I386_BUS_SPACE_MEM;
+#endif
+
+#ifdef __alpha__
+ if (!(pci_map_bwx(config_id, TI_PCI_LOMEM, &vbase, &pbase) ||
+ pci_map_dense(config_id, TI_PCI_LOMEM, &vbase, &pbase))){
+ printf ("ti%d: couldn't map memory\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ sc->ti_bhandle = pbase;
+ sc->ti_vhandle = vbase;
+ sc->ti_btag = ALPHA_BUS_SPACE_MEM;
+#endif
+ /* Allocate interrupt */
+ if (!pci_map_int(config_id, ti_intr, sc, &net_imask)) {
+ printf("ti%d: couldn't map interrupt\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ sc->ti_unit = unit;
+
+ if (ti_chipinit(sc)) {
+ printf("ti%d: chip initialization failed\n", sc->ti_unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ /* Zero out the NIC's on-board SRAM. */
+ ti_mem(sc, 0x2000, 0x100000 - 0x2000, NULL);
+
+ /* Init again -- zeroing memory may have clobbered some registers. */
+ if (ti_chipinit(sc)) {
+ printf("ti%d: chip initialization failed\n", sc->ti_unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ /*
+ * Get station address from the EEPROM. Note: the manual states
+ * that the MAC address is at offset 0x8c, however the data is
+ * stored as two longwords (since that's how it's loaded into
+ * the NIC). This means the MAC address is actually preceeded
+ * by two zero bytes. We need to skip over those.
+ */
+ if (ti_read_eeprom(sc, (caddr_t)&sc->arpcom.ac_enaddr,
+ TI_EE_MAC_OFFSET + 2, ETHER_ADDR_LEN)) {
+ printf("ti%d: failed to read station address\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ /*
+ * A Tigon chip was detected. Inform the world.
+ */
+ printf("ti%d: Ethernet address: %6D\n", unit,
+ sc->arpcom.ac_enaddr, ":");
+
+ /* Allocate the general information block and ring buffers. */
+ sc->ti_rdata_ptr = contigmalloc(sizeof(struct ti_ring_data), M_DEVBUF,
+ M_NOWAIT, 0x100000, 0xffffffff, PAGE_SIZE, 0);
+
+ if (sc->ti_rdata_ptr == NULL) {
+ free(sc, M_DEVBUF);
+ printf("ti%d: no memory for list buffers!\n", sc->ti_unit);
+ goto fail;
+ }
+
+ sc->ti_rdata = (struct ti_ring_data *)sc->ti_rdata_ptr;
+ bzero(sc->ti_rdata, sizeof(struct ti_ring_data));
+
+ /* Try to allocate memory for jumbo buffers. */
+ if (ti_alloc_jumbo_mem(sc)) {
+ printf("ti%d: jumbo buffer allocation failed\n", sc->ti_unit);
+ free(sc->ti_rdata_ptr, M_DEVBUF);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ /* Set default tuneable values. */
+ sc->ti_stat_ticks = 2 * TI_TICKS_PER_SEC;
+ sc->ti_rx_coal_ticks = TI_TICKS_PER_SEC / 5000;
+ sc->ti_tx_coal_ticks = TI_TICKS_PER_SEC / 500;
+ sc->ti_rx_max_coal_bds = 64;
+ sc->ti_tx_max_coal_bds = 128;
+ sc->ti_tx_buf_ratio = 21;
+
+ /* Set up ifnet structure */
+ ifp = &sc->arpcom.ac_if;
+ ifp->if_softc = sc;
+ ifp->if_unit = sc->ti_unit;
+ ifp->if_name = "ti";
+ ifp->if_flags = IFF_BROADCAST | IFF_SIMPLEX | IFF_MULTICAST;
+ ifp->if_ioctl = ti_ioctl;
+ ifp->if_output = ether_output;
+ ifp->if_start = ti_start;
+ ifp->if_watchdog = ti_watchdog;
+ ifp->if_init = ti_init;
+ ifp->if_mtu = ETHERMTU;
+ ifp->if_snd.ifq_maxlen = TI_TX_RING_CNT - 1;
+
+ /* Set up ifmedia support. */
+ ifmedia_init(&sc->ifmedia, IFM_IMASK, ti_ifmedia_upd, ti_ifmedia_sts);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_10_FL, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_10_FL|IFM_FDX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_100_FX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_100_FX|IFM_FDX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_1000_SX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_1000_SX|IFM_FDX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_AUTO, 0, NULL);
+ ifmedia_set(&sc->ifmedia, IFM_ETHER|IFM_AUTO);
+
+ /*
+ * Call MI attach routines.
+ */
+ if_attach(ifp);
+ ether_ifattach(ifp);
+
+#if NBPFILTER > 0
+ bpfattach(ifp, DLT_EN10MB, sizeof(struct ether_header));
+#endif
+
+ at_shutdown(ti_shutdown, sc, SHUTDOWN_POST_SYNC);
+
+fail:
+ splx(s);
+
+ return;
+}
+
+/*
+ * Frame reception handling. This is called if there's a frame
+ * on the receive return list.
+ *
+ * Note: we have to be able to handle three possibilities here:
+ * 1) the frame is from the mini receive ring (can only happen)
+ * on Tigon 2 boards)
+ * 2) the frame is from the jumbo recieve ring
+ * 3) the frame is from the standard receive ring
+ */
+int ti_cksumok = 0;
+
+static void ti_rxeof(sc)
+ struct ti_softc *sc;
+{
+ struct ifnet *ifp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ while(sc->ti_rx_saved_considx != sc->ti_return_prodidx.ti_idx) {
+ struct ti_rx_desc *cur_rx;
+ u_int32_t rxidx;
+ struct ether_header *eh;
+ struct mbuf *m = NULL;
+#if NVLAN > 0
+ u_int16_t vlan_tag = 0;
+ int have_tag = 0;
+#endif
+#ifdef TI_CSUM_OFFLOAD
+ struct ip *ip;
+#endif
+
+ cur_rx =
+ &sc->ti_rdata->ti_rx_return_ring[sc->ti_rx_saved_considx];
+ rxidx = cur_rx->ti_idx;
+ TI_INC(sc->ti_rx_saved_considx, TI_RETURN_RING_CNT);
+
+#if NVLAN > 0
+ if (cur_rx->ti_flags & TI_BDFLAG_VLAN_TAG) {
+ have_tag = 1;
+ vlan_tag = cur_rx->ti_vlan_tag;
+ }
+#endif
+
+ if (cur_rx->ti_flags & TI_BDFLAG_JUMBO_RING) {
+ TI_INC(sc->ti_jumbo, TI_JUMBO_RX_RING_CNT);
+ m = sc->ti_cdata.ti_rx_jumbo_chain[rxidx];
+ sc->ti_cdata.ti_rx_jumbo_chain[rxidx] = NULL;
+ if (cur_rx->ti_flags & TI_BDFLAG_ERROR) {
+ ifp->if_ierrors++;
+ ti_newbuf_jumbo(sc, sc->ti_jumbo, m);
+ TI_INC(sc->ti_jumbo_old, TI_JUMBO_RX_RING_CNT);
+ continue;
+ }
+ sc->ti_jumbo_cnt++;
+ } else if (cur_rx->ti_flags & TI_BDFLAG_MINI_RING) {
+ TI_INC(sc->ti_mini, TI_MINI_RX_RING_CNT);
+ m = sc->ti_cdata.ti_rx_mini_chain[rxidx];
+ sc->ti_cdata.ti_rx_mini_chain[rxidx] = NULL;
+ if (cur_rx->ti_flags & TI_BDFLAG_ERROR) {
+ ifp->if_ierrors++;
+ ti_newbuf_mini(sc, sc->ti_mini, m);
+ TI_INC(sc->ti_mini_old, TI_MINI_RX_RING_CNT);
+ continue;
+ }
+ sc->ti_mini_cnt++;
+ } else {
+ TI_INC(sc->ti_std, TI_STD_RX_RING_CNT);
+ m = sc->ti_cdata.ti_rx_std_chain[rxidx];
+ sc->ti_cdata.ti_rx_std_chain[rxidx] = NULL;
+ if (cur_rx->ti_flags & TI_BDFLAG_ERROR) {
+ ifp->if_ierrors++;
+ ti_newbuf_std(sc, sc->ti_std, m);
+ TI_INC(sc->ti_std_old, TI_STD_RX_RING_CNT);
+ continue;
+ }
+ sc->ti_std_cnt++;
+ }
+
+ m->m_pkthdr.len = m->m_len = cur_rx->ti_len;
+ ifp->if_ipackets++;
+ eh = mtod(m, struct ether_header *);
+ m->m_pkthdr.rcvif = ifp;
+
+#if NBPFILTER > 0
+ /*
+ * Handle BPF listeners. Let the BPF user see the packet, but
+ * don't pass it up to the ether_input() layer unless it's
+ * a broadcast packet, multicast packet, matches our ethernet
+ * address or the interface is in promiscuous mode.
+ */
+ if (ifp->if_bpf) {
+ bpf_mtap(ifp, m);
+ if (ifp->if_flags & IFF_PROMISC &&
+ (bcmp(eh->ether_dhost, sc->arpcom.ac_enaddr,
+ ETHER_ADDR_LEN) &&
+ (eh->ether_dhost[0] & 1) == 0)) {
+ m_freem(m);
+ continue;
+ }
+ }
+#endif
+
+ /* Remove header from mbuf and pass it on. */
+ m_adj(m, sizeof(struct ether_header));
+
+#ifdef TI_CSUM_OFFLOAD
+ ip = mtod(m, struct ip *);
+ if (!(cur_rx->ti_tcp_udp_cksum ^ 0xFFFF) &&
+ !(ip->ip_off & htons(IP_MF | IP_OFFMASK | IP_RF))) {
+ m->m_flags |= M_HWCKSUM;
+ ti_cksumok++;
+ }
+#endif
+
+#if NVLAN > 0
+ /*
+ * If we received a packet with a vlan tag, pass it
+ * to vlan_input() instead of ether_input().
+ */
+ if (have_tag) {
+ vlan_input_tag(eh, m, vlan_tag);
+ have_tag = vlan_tag = 0;
+ continue;
+ }
+#endif
+ ether_input(ifp, eh, m);
+ }
+
+ /* Only necessary on the Tigon 1. */
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ CSR_WRITE_4(sc, TI_GCR_RXRETURNCONS_IDX,
+ sc->ti_rx_saved_considx);
+
+ ti_refill_rx_rings(sc);
+
+ return;
+}
+
+static void ti_txeof(sc)
+ struct ti_softc *sc;
+{
+ struct ti_tx_desc *cur_tx = NULL;
+ struct ifnet *ifp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ /*
+ * Go through our tx ring and free mbufs for those
+ * frames that have been sent.
+ */
+ while (sc->ti_tx_saved_considx != sc->ti_tx_considx.ti_idx) {
+ u_int32_t idx = 0;
+
+ idx = sc->ti_tx_saved_considx;
+ if (sc->ti_hwrev == TI_HWREV_TIGON) {
+ if (idx > 383)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 6144);
+ else if (idx > 255)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 4096);
+ else if (idx > 127)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 2048);
+ else
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE);
+ cur_tx = &sc->ti_rdata->ti_tx_ring_nic[idx % 128];
+ } else
+ cur_tx = &sc->ti_rdata->ti_tx_ring[idx];
+ if (cur_tx->ti_flags & TI_BDFLAG_END)
+ ifp->if_opackets++;
+ if (sc->ti_cdata.ti_tx_chain[idx] != NULL) {
+ m_freem(sc->ti_cdata.ti_tx_chain[idx]);
+ sc->ti_cdata.ti_tx_chain[idx] = NULL;
+ }
+ TI_INC(sc->ti_tx_saved_considx, TI_TX_RING_CNT);
+ ifp->if_timer = 0;
+ }
+
+ if (cur_tx != NULL)
+ ifp->if_flags &= ~IFF_OACTIVE;
+
+ return;
+}
+
+static void ti_intr(xsc)
+ void *xsc;
+{
+ struct ti_softc *sc;
+ struct ifnet *ifp;
+
+ sc = xsc;
+ ifp = &sc->arpcom.ac_if;
+
+#ifdef notdef
+ /* Avoid this for now -- checking this register is expensive. */
+ /* Make sure this is really our interrupt. */
+ if (!(CSR_READ_4(sc, TI_MISC_HOST_CTL) & TI_MHC_INTSTATE))
+ return;
+#endif
+
+ /* Ack interrupt and stop others from occuring. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 1);
+
+ if (ifp->if_flags & IFF_RUNNING) {
+ /* Check RX return ring producer/consumer */
+ ti_rxeof(sc);
+
+ /* Check TX ring producer/consumer */
+ ti_txeof(sc);
+ }
+
+ ti_handle_events(sc);
+
+ /* Re-enable interrupts. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 0);
+
+ if (ifp->if_flags & IFF_RUNNING && ifp->if_snd.ifq_head != NULL)
+ ti_start(ifp);
+
+ return;
+}
+
+static void ti_stats_update(sc)
+ struct ti_softc *sc;
+{
+ struct ifnet *ifp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ ifp->if_collisions +=
+ (sc->ti_rdata->ti_info.ti_stats.dot3StatsSingleCollisionFrames +
+ sc->ti_rdata->ti_info.ti_stats.dot3StatsMultipleCollisionFrames +
+ sc->ti_rdata->ti_info.ti_stats.dot3StatsExcessiveCollisions +
+ sc->ti_rdata->ti_info.ti_stats.dot3StatsLateCollisions) -
+ ifp->if_collisions;
+
+ return;
+}
+
+/*
+ * Encapsulate an mbuf chain in the tx ring by coupling the mbuf data
+ * pointers to descriptors.
+ */
+static int ti_encap(sc, m_head, txidx)
+ struct ti_softc *sc;
+ struct mbuf *m_head;
+ u_int32_t *txidx;
+{
+ struct ti_tx_desc *f = NULL;
+ struct mbuf *m;
+ u_int32_t frag, cur;
+#if NVLAN > 0
+ struct ifvlan *ifv = NULL;
+
+ if ((m_head->m_flags & (M_PROTO1|M_PKTHDR)) == (M_PROTO1|M_PKTHDR) &&
+ m_head->m_pkthdr.rcvif != NULL &&
+ m_head->m_pkthdr.rcvif->if_type == IFT_8021_VLAN)
+ ifv = m_head->m_pkthdr.rcvif->if_softc;
+#endif
+
+ m = m_head;
+ cur = frag = *txidx;
+
+ /*
+ * Start packing the mbufs in this chain into
+ * the fragment pointers. Stop when we run out
+ * of fragments or hit the end of the mbuf chain.
+ */
+ for (m = m_head; m != NULL; m = m->m_next) {
+ if (m->m_len != 0) {
+ if (sc->ti_hwrev == TI_HWREV_TIGON) {
+ if (frag > 383)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 6144);
+ else if (frag > 255)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 4096);
+ else if (frag > 127)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 2048);
+ else
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE);
+ f = &sc->ti_rdata->ti_tx_ring_nic[frag % 128];
+ } else
+ f = &sc->ti_rdata->ti_tx_ring[frag];
+ if (sc->ti_cdata.ti_tx_chain[frag] != NULL)
+ break;
+ TI_HOSTADDR(f->ti_addr) = vtophys(mtod(m, vm_offset_t));
+ f->ti_len = m->m_len;
+ f->ti_flags = 0;
+#if NVLAN > 0
+ if (ifv != NULL) {
+ f->ti_flags |= TI_BDFLAG_VLAN_TAG;
+ f->ti_vlan_tag = ifv->ifv_tag;
+ } else {
+ f->ti_vlan_tag = 0;
+ }
+#endif
+ cur = frag;
+ TI_INC(frag, TI_TX_RING_CNT);
+ }
+ }
+
+ if (m != NULL)
+ return(ENOBUFS);
+
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ sc->ti_rdata->ti_tx_ring_nic[cur % 128].ti_flags |=
+ TI_BDFLAG_END;
+ else
+ sc->ti_rdata->ti_tx_ring[cur].ti_flags |= TI_BDFLAG_END;
+ sc->ti_cdata.ti_tx_chain[*txidx] = m_head;
+
+ *txidx = frag;
+
+ return(0);
+}
+
+/*
+ * Main transmit routine. To avoid having to do mbuf copies, we put pointers
+ * to the mbuf data regions directly in the transmit descriptors.
+ */
+static void ti_start(ifp)
+ struct ifnet *ifp;
+{
+ struct ti_softc *sc;
+ struct mbuf *m_head = NULL;
+ u_int32_t prodidx = 0;
+
+ sc = ifp->if_softc;
+
+ prodidx = CSR_READ_4(sc, TI_MB_SENDPROD_IDX);
+
+ while(sc->ti_cdata.ti_tx_chain[prodidx] == NULL) {
+ IF_DEQUEUE(&ifp->if_snd, m_head);
+ if (m_head == NULL)
+ break;
+
+ /*
+ * Pack the data into the transmit ring. If we
+ * don't have room, set the OACTIVE flag and wait
+ * for the NIC to drain the ring.
+ */
+ if (ti_encap(sc, m_head, &prodidx)) {
+ IF_PREPEND(&ifp->if_snd, m_head);
+ ifp->if_flags |= IFF_OACTIVE;
+ break;
+ }
+
+ /*
+ * If there's a BPF listener, bounce a copy of this frame
+ * to him.
+ */
+#if NBPFILTER > 0
+ if (ifp->if_bpf)
+ bpf_mtap(ifp, m_head);
+#endif
+ }
+
+ /* Transmit */
+ CSR_WRITE_4(sc, TI_MB_SENDPROD_IDX, prodidx);
+
+ /*
+ * Set a timeout in case the chip goes out to lunch.
+ */
+ ifp->if_timer = 5;
+
+ return;
+}
+
+static void ti_init(xsc)
+ void *xsc;
+{
+ struct ti_softc *sc = xsc;
+ int s;
+
+ s = splimp();
+
+ /* Cancel pending I/O and flush buffers. */
+ ti_stop(sc);
+
+ /* Init the gen info block, ring control blocks and firmware. */
+ if (ti_gibinit(sc)) {
+ printf("ti%d: initialization failure\n", sc->ti_unit);
+ splx(s);
+ return;
+ }
+
+ splx(s);
+
+ return;
+}
+
+static void ti_init2(sc)
+ struct ti_softc *sc;
+{
+ struct ti_cmd_desc cmd;
+ struct ifnet *ifp;
+ u_int16_t *m;
+ struct ifmedia *ifm;
+ int tmp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ /* Specify MTU and interface index. */
+ CSR_WRITE_4(sc, TI_GCR_IFINDEX, ifp->if_unit);
+ CSR_WRITE_4(sc, TI_GCR_IFMTU, ifp->if_mtu +
+ ETHER_HDR_LEN + ETHER_CRC_LEN);
+ TI_DO_CMD(TI_CMD_UPDATE_GENCOM, 0, 0);
+
+ /* Load our MAC address. */
+ m = (u_int16_t *)&sc->arpcom.ac_enaddr[0];
+ CSR_WRITE_4(sc, TI_GCR_PAR0, htons(m[0]));
+ CSR_WRITE_4(sc, TI_GCR_PAR1, (htons(m[1]) << 16) | htons(m[2]));
+ TI_DO_CMD(TI_CMD_SET_MAC_ADDR, 0, 0);
+
+ /* Enable or disable promiscuous mode as needed. */
+ if (ifp->if_flags & IFF_PROMISC) {
+ TI_DO_CMD(TI_CMD_SET_PROMISC_MODE, TI_CMD_CODE_PROMISC_ENB, 0);
+ } else {
+ TI_DO_CMD(TI_CMD_SET_PROMISC_MODE, TI_CMD_CODE_PROMISC_DIS, 0);
+ }
+
+ /* Program multicast filter. */
+ ti_setmulti(sc);
+
+ /*
+ * If this is a Tigon 1, we should tell the
+ * firmware to use software packet filtering.
+ */
+ if (sc->ti_hwrev == TI_HWREV_TIGON) {
+ TI_DO_CMD(TI_CMD_FDR_FILTERING, TI_CMD_CODE_FILT_ENB, 0);
+ }
+
+ /* Init RX ring. */
+ ti_init_rx_ring_std(sc);
+
+ /* Init jumbo RX ring. */
+ if (ifp->if_mtu > (ETHERMTU + ETHER_HDR_LEN + ETHER_CRC_LEN))
+ ti_init_rx_ring_jumbo(sc);
+
+ /*
+ * If this is a Tigon 2, we can also configure the
+ * mini ring.
+ */
+ if (sc->ti_hwrev == TI_HWREV_TIGON_II)
+ ti_init_rx_ring_mini(sc);
+
+ CSR_WRITE_4(sc, TI_GCR_RXRETURNCONS_IDX, 0);
+ sc->ti_rx_saved_considx = 0;
+
+ /* Init TX ring. */
+ ti_init_tx_ring(sc);
+
+ /* Tell firmware we're alive. */
+ TI_DO_CMD(TI_CMD_HOST_STATE, TI_CMD_CODE_STACK_UP, 0);
+
+ /* Enable host interrupts. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 0);
+
+ ifp->if_flags |= IFF_RUNNING;
+ ifp->if_flags &= ~IFF_OACTIVE;
+
+ /*
+ * Make sure to set media properly. We have to do this
+ * here since we have to issue commands in order to set
+ * the link negotiation and we can't issue commands until
+ * the firmware is running.
+ */
+ ifm = &sc->ifmedia;
+ tmp = ifm->ifm_media;
+ ifm->ifm_media = ifm->ifm_cur->ifm_media;
+ ti_ifmedia_upd(ifp);
+ ifm->ifm_media = tmp;
+
+ return;
+}
+
+/*
+ * Set media options.
+ */
+static int ti_ifmedia_upd(ifp)
+ struct ifnet *ifp;
+{
+ struct ti_softc *sc;
+ struct ifmedia *ifm;
+ struct ti_cmd_desc cmd;
+
+ sc = ifp->if_softc;
+ ifm = &sc->ifmedia;
+
+ if (IFM_TYPE(ifm->ifm_media) != IFM_ETHER)
+ return(EINVAL);
+
+ switch(IFM_SUBTYPE(ifm->ifm_media)) {
+ case IFM_AUTO:
+ CSR_WRITE_4(sc, TI_GCR_GLINK, TI_GLNK_PREF|TI_GLNK_1000MB|
+ TI_GLNK_FULL_DUPLEX|TI_GLNK_RX_FLOWCTL_Y|
+ TI_GLNK_AUTONEGENB|TI_GLNK_ENB);
+ CSR_WRITE_4(sc, TI_GCR_LINK, TI_LNK_100MB|TI_LNK_10MB|
+ TI_LNK_FULL_DUPLEX|TI_LNK_HALF_DUPLEX|
+ TI_LNK_AUTONEGENB|TI_LNK_ENB);
+ TI_DO_CMD(TI_CMD_LINK_NEGOTIATION,
+ TI_CMD_CODE_NEGOTIATE_BOTH, 0);
+ break;
+ case IFM_1000_SX:
+ CSR_WRITE_4(sc, TI_GCR_GLINK, TI_GLNK_PREF|TI_GLNK_1000MB|
+ TI_GLNK_FULL_DUPLEX|TI_GLNK_RX_FLOWCTL_Y|TI_GLNK_ENB);
+ CSR_WRITE_4(sc, TI_GCR_LINK, 0);
+ TI_DO_CMD(TI_CMD_LINK_NEGOTIATION,
+ TI_CMD_CODE_NEGOTIATE_GIGABIT, 0);
+ break;
+ case IFM_100_FX:
+ case IFM_10_FL:
+ CSR_WRITE_4(sc, TI_GCR_GLINK, 0);
+ CSR_WRITE_4(sc, TI_GCR_LINK, TI_LNK_ENB|TI_LNK_PREF);
+ if (IFM_SUBTYPE(ifm->ifm_media) == IFM_100_FX) {
+ TI_SETBIT(sc, TI_GCR_LINK, TI_LNK_100MB);
+ } else {
+ TI_SETBIT(sc, TI_GCR_LINK, TI_LNK_10MB);
+ }
+ if ((ifm->ifm_media & IFM_GMASK) == IFM_FDX) {
+ TI_SETBIT(sc, TI_GCR_LINK, TI_LNK_FULL_DUPLEX);
+ } else {
+ TI_SETBIT(sc, TI_GCR_LINK, TI_LNK_HALF_DUPLEX);
+ }
+ TI_DO_CMD(TI_CMD_LINK_NEGOTIATION,
+ TI_CMD_CODE_NEGOTIATE_10_100, 0);
+ break;
+ }
+
+ return(0);
+}
+
+/*
+ * Report current media status.
+ */
+static void ti_ifmedia_sts(ifp, ifmr)
+ struct ifnet *ifp;
+ struct ifmediareq *ifmr;
+{
+ struct ti_softc *sc;
+
+ sc = ifp->if_softc;
+
+ ifmr->ifm_status = IFM_AVALID;
+ ifmr->ifm_active = IFM_ETHER;
+
+ if (sc->ti_linkstat == TI_EV_CODE_LINK_DOWN)
+ return;
+
+ ifmr->ifm_status |= IFM_ACTIVE;
+
+ if (sc->ti_linkstat == TI_EV_CODE_GIG_LINK_UP)
+ ifmr->ifm_active |= IFM_1000_SX|IFM_FDX;
+ else if (sc->ti_linkstat == TI_EV_CODE_LINK_UP) {
+ u_int32_t media;
+ media = CSR_READ_4(sc, TI_GCR_LINK_STAT);
+ if (media & TI_LNK_100MB)
+ ifmr->ifm_active |= IFM_100_FX;
+ if (media & TI_LNK_10MB)
+ ifmr->ifm_active |= IFM_10_FL;
+ if (media & TI_LNK_FULL_DUPLEX)
+ ifmr->ifm_active |= IFM_FDX;
+ if (media & TI_LNK_HALF_DUPLEX)
+ ifmr->ifm_active |= IFM_HDX;
+ }
+
+ return;
+}
+
+static int ti_ioctl(ifp, command, data)
+ struct ifnet *ifp;
+ u_long command;
+ caddr_t data;
+{
+ struct ti_softc *sc = ifp->if_softc;
+ struct ifreq *ifr = (struct ifreq *) data;
+ int s, error = 0;
+ struct ti_cmd_desc cmd;
+
+ s = splimp();
+
+ switch(command) {
+ case SIOCSIFADDR:
+ case SIOCGIFADDR:
+ error = ether_ioctl(ifp, command, data);
+ break;
+ case SIOCSIFMTU:
+ if (ifr->ifr_mtu > TI_JUMBO_MTU)
+ error = EINVAL;
+ else {
+ ifp->if_mtu = ifr->ifr_mtu;
+ ti_init(sc);
+ }
+ break;
+ case SIOCSIFFLAGS:
+ if (ifp->if_flags & IFF_UP) {
+ /*
+ * If only the state of the PROMISC flag changed,
+ * then just use the 'set promisc mode' command
+ * instead of reinitializing the entire NIC. Doing
+ * a full re-init means reloading the firmware and
+ * waiting for it to start up, which may take a
+ * second or two.
+ */
+ if (ifp->if_flags & IFF_RUNNING &&
+ ifp->if_flags & IFF_PROMISC &&
+ !(sc->ti_if_flags & IFF_PROMISC)) {
+ TI_DO_CMD(TI_CMD_SET_PROMISC_MODE,
+ TI_CMD_CODE_PROMISC_ENB, 0);
+ } else if (ifp->if_flags & IFF_RUNNING &&
+ !(ifp->if_flags & IFF_PROMISC) &&
+ sc->ti_if_flags & IFF_PROMISC) {
+ TI_DO_CMD(TI_CMD_SET_PROMISC_MODE,
+ TI_CMD_CODE_PROMISC_DIS, 0);
+ } else
+ ti_init(sc);
+ } else {
+ if (ifp->if_flags & IFF_RUNNING) {
+ ti_stop(sc);
+ }
+ }
+ sc->ti_if_flags = ifp->if_flags;
+ error = 0;
+ break;
+ case SIOCADDMULTI:
+ case SIOCDELMULTI:
+ if (ifp->if_flags & IFF_RUNNING) {
+ ti_setmulti(sc);
+ error = 0;
+ }
+ break;
+ case SIOCSIFMEDIA:
+ case SIOCGIFMEDIA:
+ error = ifmedia_ioctl(ifp, ifr, &sc->ifmedia, command);
+ break;
+ default:
+ error = EINVAL;
+ break;
+ }
+
+ (void)splx(s);
+
+ return(error);
+}
+
+static void ti_watchdog(ifp)
+ struct ifnet *ifp;
+{
+ struct ti_softc *sc;
+
+ sc = ifp->if_softc;
+
+ printf("ti%d: watchdog timeout -- resetting\n", sc->ti_unit);
+ ti_stop(sc);
+ ti_init(sc);
+
+ ifp->if_oerrors++;
+
+ return;
+}
+
+/*
+ * Stop the adapter and free any mbufs allocated to the
+ * RX and TX lists.
+ */
+static void ti_stop(sc)
+ struct ti_softc *sc;
+{
+ struct ifnet *ifp;
+ struct ti_cmd_desc cmd;
+
+ ifp = &sc->arpcom.ac_if;
+
+ /* Disable host interrupts. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 1);
+ /*
+ * Tell firmware we're shutting down.
+ */
+ TI_DO_CMD(TI_CMD_HOST_STATE, TI_CMD_CODE_STACK_DOWN, 0);
+
+ /* Halt and reinitialize. */
+ ti_chipinit(sc);
+ ti_mem(sc, 0x2000, 0x100000 - 0x2000, NULL);
+ ti_chipinit(sc);
+
+ /* Free the RX lists. */
+ ti_free_rx_ring_std(sc);
+
+ /* Free jumbo RX list. */
+ ti_free_rx_ring_jumbo(sc);
+
+ /* Free mini RX list. */
+ ti_free_rx_ring_mini(sc);
+
+ /* Free TX buffers. */
+ ti_free_tx_ring(sc);
+
+ sc->ti_ev_prodidx.ti_idx = 0;
+ sc->ti_return_prodidx.ti_idx = 0;
+ sc->ti_tx_considx.ti_idx = 0;
+ sc->ti_tx_saved_considx = TI_TXCONS_UNSET;
+
+ ifp->if_flags &= ~(IFF_RUNNING | IFF_OACTIVE);
+
+ return;
+}
+
+/*
+ * Stop all chip I/O so that the kernel's probe routines don't
+ * get confused by errant DMAs when rebooting.
+ */
+static void ti_shutdown(howto, xsc)
+ int howto;
+ void *xsc;
+{
+ struct ti_softc *sc;
+
+ sc = xsc;
+
+ ti_chipinit(sc);
+
+ return;
+}
+
+static struct pci_device ti_device = {
+ "ti",
+ ti_probe,
+ ti_attach,
+ &ti_count,
+ NULL
+};
+DATA_SET(pcidevice_set, ti_device);
diff --git a/sys/dev/ti/if_tireg.h b/sys/dev/ti/if_tireg.h
new file mode 100644
index 0000000..73bb8a4
--- /dev/null
+++ b/sys/dev/ti/if_tireg.h
@@ -0,0 +1,1190 @@
+/*
+ * Copyright (c) 1997, 1998, 1999
+ * Bill Paul <wpaul@ctr.columbia.edu>. All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without
+ * modification, are permitted provided that the following conditions
+ * are met:
+ * 1. Redistributions of source code must retain the above copyright
+ * notice, this list of conditions and the following disclaimer.
+ * 2. Redistributions in binary form must reproduce the above copyright
+ * notice, this list of conditions and the following disclaimer in the
+ * documentation and/or other materials provided with the distribution.
+ * 3. All advertising materials mentioning features or use of this software
+ * must display the following acknowledgement:
+ * This product includes software developed by Bill Paul.
+ * 4. Neither the name of the author nor the names of any co-contributors
+ * may be used to endorse or promote products derived from this software
+ * without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY Bill Paul AND CONTRIBUTORS ``AS IS'' AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
+ * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
+ * ARE DISCLAIMED. IN NO EVENT SHALL Bill Paul OR THE VOICES IN HIS HEAD
+ * BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+ * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
+ * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
+ * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
+ * CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
+ * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
+ * THE POSSIBILITY OF SUCH DAMAGE.
+ *
+ * $Id: if_tireg.h,v 1.43 1999/04/02 02:54:16 wpaul Exp $
+ */
+
+/*
+ * Tigon register offsets. These are memory mapped registers
+ * which can be accessed with the CSR_READ_4()/CSR_WRITE_4() macros.
+ * Each register must be accessed using 32 bit operations.
+ *
+ * All reegisters are accessed through a 16K shared memory block.
+ * The first group of registers are actually copies of the PCI
+ * configuration space registers.
+ */
+
+#define TI_PCI_ID 0x000 /* PCI device/vendor ID */
+#define TI_PCI_CMDSTAT 0x004
+#define TI_PCI_CLASSCODE 0x008
+#define TI_PCI_BIST 0x00C
+#define TI_PCI_LOMEM 0x010 /* Shared memory base address */
+#define TI_PCI_SUBSYS 0x02C
+#define TI_PCI_ROMBASE 0x030
+#define TI_PCI_INT 0x03C
+
+#ifndef PCIM_CMD_MWIEN
+#define PCIM_CMD_MWIEN 0x0010
+#endif
+
+/*
+ * Alteon AceNIC PCI vendor/device ID.
+ */
+#define ALT_VENDORID 0x12AE
+#define ALT_DEVICEID_ACENIC 0x0001
+
+/*
+ * 3Com 3c985 PCI vendor/device ID.
+ */
+#define TC_VENDORID 0x10B7
+#define TC_DEVICEID_3C985 0x0001
+
+/*
+ * Netgear GA620 PCI vendor/device ID.
+ */
+#define NG_VENDORID 0x1385
+#define NG_DEVICEID_GA620 0x620A
+
+/*
+ * SGI device/vendor ID.
+ */
+#define SGI_VENDORID 0x10A9
+#define SGI_DEVICEID_TIGON 0x0009
+
+/*
+ * Tigon configuration and control registers.
+ */
+#define TI_MISC_HOST_CTL 0x040
+#define TI_MISC_LOCAL_CTL 0x044
+#define TI_SEM_AB 0x048 /* Tigon 2 only */
+#define TI_MISC_CONF 0x050 /* Tigon 2 only */
+#define TI_TIMER_BITS 0x054
+#define TI_TIMERREF 0x058
+#define TI_PCI_STATE 0x05C
+#define TI_MAIN_EVENT_A 0x060
+#define TI_MAILBOX_EVENT_A 0x064
+#define TI_WINBASE 0x068
+#define TI_WINDATA 0x06C
+#define TI_MAIN_EVENT_B 0x070 /* Tigon 2 only */
+#define TI_MAILBOX_EVENT_B 0x074 /* Tigon 2 only */
+#define TI_TIMERREF_B 0x078 /* Tigon 2 only */
+#define TI_SERIAL 0x07C
+
+/*
+ * Misc host control bits.
+ */
+#define TI_MHC_INTSTATE 0x00000001
+#define TI_MHC_CLEARINT 0x00000002
+#define TI_MHC_RESET 0x00000008
+#define TI_MHC_BYTE_SWAP_ENB 0x00000010
+#define TI_MHC_WORD_SWAP_ENB 0x00000020
+#define TI_MHC_MASK_INTS 0x00000040
+#define TI_MHC_CHIP_REV_MASK 0xF0000000
+
+#define TI_MHC_BIGENDIAN_INIT \
+ (TI_MHC_BYTE_SWAP_ENB|TI_MHC_WORD_SWAP_ENB|TI_MHC_CLEARINT)
+
+#define TI_MHC_LITTLEENDIAN_INIT \
+ (TI_MHC_WORD_SWAP_ENB|TI_MHC_CLEARINT)
+
+/*
+ * Tigon chip rev values. Rev 4 is the Tigon 1. Rev 6 is the Tigon 2.
+ * Rev 5 is also the Tigon 2, but is a broken version which was never
+ * used in any actual hardware, so we ignore it.
+ */
+#define TI_REV_TIGON_I 0x40000000
+#define TI_REV_TIGON_II 0x60000000
+
+/*
+ * Firmware revision that we want.
+ */
+#define TI_FIRMWARE_MAJOR 0xc
+#define TI_FIRMWARE_MINOR 0x3
+#define TI_FIRMWARE_FIX 0x9
+
+/*
+ * Miscelaneous Local Control register.
+ */
+#define TI_MLC_EE_WRITE_ENB 0x00000010
+#define TI_MLC_SRAM_BANK_256K 0x00000200
+#define TI_MLC_SRAM_BANK_SIZE 0x00000300 /* Tigon 2 only */
+#define TI_MLC_LOCALADDR_21 0x00004000
+#define TI_MLC_LOCALADDR_22 0x00008000
+#define TI_MLC_SBUS_WRITEERR 0x00080000
+#define TI_MLC_EE_CLK 0x00100000
+#define TI_MLC_EE_TXEN 0x00200000
+#define TI_MLC_EE_DOUT 0x00400000
+#define TI_MLC_EE_DIN 0x00800000
+
+/*
+ * Offset of MAC address inside EEPROM.
+ */
+#define TI_EE_MAC_OFFSET 0x8c
+
+#define TI_DMA_ASSIST 0x11C
+#define TI_CPU_STATE 0x140
+#define TI_CPU_PROGRAM_COUNTER 0x144
+#define TI_SRAM_ADDR 0x154
+#define TI_SRAM_DATA 0x158
+#define TI_GEN_0 0x180
+#define TI_GEN_X 0x1FC
+#define TI_MAC_TX_STATE 0x200
+#define TI_MAC_RX_STATE 0x220
+#define TI_CPU_CTL_B 0x240 /* Tigon 2 only */
+#define TI_CPU_PROGRAM_COUNTER_B 0x244 /* Tigon 2 only */
+#define TI_SRAM_ADDR_B 0x254 /* Tigon 2 only */
+#define TI_SRAM_DATA_B 0x258 /* Tigon 2 only */
+#define TI_GEN_B_0 0x280 /* Tigon 2 only */
+#define TI_GEN_B_X 0x2FC /* Tigon 2 only */
+
+/*
+ * Misc config register.
+ */
+#define TI_MCR_SRAM_SYNCHRONOUS 0x00100000 /* Tigon 2 only */
+
+/*
+ * PCI state register.
+ */
+#define TI_PCISTATE_FORCE_RESET 0x00000001
+#define TI_PCISTATE_PROVIDE_LEN 0x00000002
+#define TI_PCISTATE_READ_MAXDMA 0x0000001C
+#define TI_PCISTATE_WRITE_MAXDMA 0x000000E0
+#define TI_PCISTATE_MINDMA 0x0000FF00
+#define TI_PCISTATE_FIFO_RETRY_ENB 0x00010000
+#define TI_PCISTATE_USE_MEM_RD_MULT 0x00020000
+#define TI_PCISTATE_NO_SWAP_READ_DMA 0x00040000
+#define TI_PCISTATE_NO_SWAP_WRITE_DMA 0x00080000
+#define TI_PCISTATE_66MHZ_BUS 0x00080000 /* Tigon 2 only */
+#define TI_PCISTATE_32BIT_BUS 0x00100000 /* Tigon 2 only */
+#define TI_PCISTATE_ENB_BYTE_ENABLES 0x00800000 /* Tigon 2 only */
+#define TI_PCISTATE_READ_CMD 0x0F000000
+#define TI_PCISTATE_WRITE_CMD 0xF0000000
+
+#define TI_PCI_READMAX_4 0x04
+#define TI_PCI_READMAX_16 0x08
+#define TI_PCI_READMAX_32 0x0C
+#define TI_PCI_READMAX_64 0x10
+#define TI_PCI_READMAX_128 0x14
+#define TI_PCI_READMAX_256 0x18
+#define TI_PCI_READMAX_1024 0x1C
+
+#define TI_PCI_WRITEMAX_4 0x20
+#define TI_PCI_WRITEMAX_16 0x40
+#define TI_PCI_WRITEMAX_32 0x60
+#define TI_PCI_WRITEMAX_64 0x80
+#define TI_PCI_WRITEMAX_128 0xA0
+#define TI_PCI_WRITEMAX_256 0xC0
+#define TI_PCI_WRITEMAX_1024 0xE0
+
+#define TI_PCI_READ_CMD 0x06000000
+#define TI_PCI_WRITE_CMD 0x70000000
+
+/*
+ * DMA state register.
+ */
+#define TI_DMASTATE_ENABLE 0x00000001
+#define TI_DMASTATE_PAUSE 0x00000002
+
+/*
+ * CPU state register.
+ */
+#define TI_CPUSTATE_RESET 0x00000001
+#define TI_CPUSTATE_STEP 0x00000002
+#define TI_CPUSTATE_ROMFAIL 0x00000010
+#define TI_CPUSTATE_HALT 0x00010000
+/*
+ * MAC TX state register
+ */
+#define TI_TXSTATE_RESET 0x00000001
+#define TI_TXSTATE_ENB 0x00000002
+#define TI_TXSTATE_STOP 0x00000004
+
+/*
+ * MAC RX state register
+ */
+#define TI_RXSTATE_RESET 0x00000001
+#define TI_RXSTATE_ENB 0x00000002
+#define TI_RXSTATE_STOP 0x00000004
+
+/*
+ * Tigon 2 mailbox registers. The mailbox area consists of 256 bytes
+ * split into 64 bit registers. Only the lower 32 bits of each mailbox
+ * are used.
+ */
+#define TI_MB_HOSTINTR_HI 0x500
+#define TI_MB_HOSTINTR_LO 0x504
+#define TI_MB_HOSTINTR TI_MB_HOSTINTR_LO
+#define TI_MB_CMDPROD_IDX_HI 0x508
+#define TI_MB_CMDPROD_IDX_LO 0x50C
+#define TI_MB_CMDPROD_IDX TI_MB_CMDPROD_IDX_LO
+#define TI_MB_SENDPROD_IDX_HI 0x510
+#define TI_MB_SENDPROD_IDX_LO 0x514
+#define TI_MB_SENDPROD_IDX TI_MB_SENDPROD_IDX_LO
+#define TI_MB_STDRXPROD_IDX_HI 0x518 /* Tigon 2 only */
+#define TI_MB_STDRXPROD_IDX_LO 0x51C /* Tigon 2 only */
+#define TI_MB_STDRXPROD_IDX TI_MB_STDRXPROD_IDX_LO
+#define TI_MB_JUMBORXPROD_IDX_HI 0x520 /* Tigon 2 only */
+#define TI_MB_JUMBORXPROD_IDX_LO 0x524 /* Tigon 2 only */
+#define TI_MB_JUMBORXPROD_IDX TI_MB_JUMBORXPROD_IDX_LO
+#define TI_MB_MINIRXPROD_IDX_HI 0x528 /* Tigon 2 only */
+#define TI_MB_MINIRXPROD_IDX_LO 0x52C /* Tigon 2 only */
+#define TI_MB_MINIRXPROD_IDX TI_MB_MINIRXPROD_IDX_LO
+#define TI_MB_RSVD 0x530
+
+/*
+ * Tigon 2 general communication registers. These are 64 and 32 bit
+ * registers which are only valid after the firmware has been
+ * loaded and started. They actually exist in NIC memory but are
+ * mapped into the host memory via the shared memory region.
+ *
+ * The NIC internally maps these registers starting at address 0,
+ * so to determine the NIC address of any of these registers, we
+ * subtract 0x600 (the address of the first register).
+ */
+
+#define TI_GCR_BASE 0x600
+#define TI_GCR_MACADDR 0x600
+#define TI_GCR_PAR0 0x600
+#define TI_GCR_PAR1 0x604
+#define TI_GCR_GENINFO_HI 0x608
+#define TI_GCR_GENINFO_LO 0x60C
+#define TI_GCR_MCASTADDR 0x610 /* obsolete */
+#define TI_GCR_MAR0 0x610 /* obsolete */
+#define TI_GCR_MAR1 0x614 /* obsolete */
+#define TI_GCR_OPMODE 0x618
+#define TI_GCR_DMA_READCFG 0x61C
+#define TI_GCR_DMA_WRITECFG 0x620
+#define TI_GCR_TX_BUFFER_RATIO 0x624
+#define TI_GCR_EVENTCONS_IDX 0x628
+#define TI_GCR_CMDCONS_IDX 0x62C
+#define TI_GCR_TUNEPARMS 0x630
+#define TI_GCR_RX_COAL_TICKS 0x630
+#define TI_GCR_TX_COAL_TICKS 0x634
+#define TI_GCR_STAT_TICKS 0x638
+#define TI_GCR_TX_MAX_COAL_BD 0x63C
+#define TI_GCR_RX_MAX_COAL_BD 0x640
+#define TI_GCR_NIC_TRACING 0x644
+#define TI_GCR_GLINK 0x648
+#define TI_GCR_LINK 0x64C
+#define TI_GCR_NICTRACE_PTR 0x650
+#define TI_GCR_NICTRACE_START 0x654
+#define TI_GCR_NICTRACE_LEN 0x658
+#define TI_GCR_IFINDEX 0x65C
+#define TI_GCR_IFMTU 0x660
+#define TI_GCR_MASK_INTRS 0x664
+#define TI_GCR_GLINK_STAT 0x668
+#define TI_GCR_LINK_STAT 0x66C
+#define TI_GCR_RXRETURNCONS_IDX 0x680
+#define TI_GCR_CMDRING 0x700
+
+#define TI_GCR_NIC_ADDR(x) (x - TI_GCR_BASE);
+
+/*
+ * Local memory window. The local memory window is a 2K shared
+ * memory region which can be used to access the NIC's internal
+ * SRAM. The window can be mapped to a given 2K region using
+ * the TI_WINDOW_BASE register.
+ */
+#define TI_WINDOW 0x800
+#define TI_WINLEN 0x800
+
+#define TI_TICKS_PER_SEC 1000000
+
+/*
+ * Operation mode register.
+ */
+#define TI_OPMODE_BYTESWAP_BD 0x00000002
+#define TI_OPMODE_WORDSWAP_BD 0x00000004
+#define TI_OPMODE_WARN_ENB 0x00000008 /* not yet implimented */
+#define TI_OPMODE_BYTESWAP_DATA 0x00000010
+#define TI_OPMODE_1_DMA_ACTIVE 0x00000040
+#define TI_OPMODE_SBUS 0x00000100
+#define TI_OPMODE_DONT_FRAG_JUMBO 0x00000200
+#define TI_OPMODE_INCLUDE_CRC 0x00000400
+#define TI_OPMODE_RX_BADFRAMES 0x00000800
+#define TI_OPMODE_NO_EVENT_INTRS 0x00001000
+#define TI_OPMODE_NO_TX_INTRS 0x00002000
+#define TI_OPMODE_NO_RX_INTRS 0x00004000
+#define TI_OPMODE_FATAL_ENB 0x40000000 /* not yet implimented */
+
+/*
+ * DMA configuration thresholds.
+ */
+#define TI_DMA_STATE_THRESH_16W 0x00000100
+#define TI_DMA_STATE_THRESH_8W 0x00000080
+#define TI_DMA_STATE_THRESH_4W 0x00000040
+#define TI_DMA_STATE_THRESH_2W 0x00000020
+#define TI_DMA_STATE_THRESH_1W 0x00000010
+
+#define TI_DMA_STATE_FORCE_32_BIT 0x00000008
+
+/*
+ * Gigabit link status bits.
+ */
+#define TI_GLNK_SENSE_NO_BEG 0x00002000
+#define TI_GLNK_LOOPBACK 0x00004000
+#define TI_GLNK_PREF 0x00008000
+#define TI_GLNK_1000MB 0x00040000
+#define TI_GLNK_FULL_DUPLEX 0x00080000
+#define TI_GLNK_TX_FLOWCTL_Y 0x00200000 /* Tigon 2 only */
+#define TI_GLNK_RX_FLOWCTL_Y 0x00800000
+#define TI_GLNK_AUTONEGENB 0x20000000
+#define TI_GLNK_ENB 0x40000000
+
+/*
+ * Link status bits.
+ */
+#define TI_LNK_LOOPBACK 0x00004000
+#define TI_LNK_PREF 0x00008000
+#define TI_LNK_10MB 0x00010000
+#define TI_LNK_100MB 0x00020000
+#define TI_LNK_1000MB 0x00040000
+#define TI_LNK_FULL_DUPLEX 0x00080000
+#define TI_LNK_HALF_DUPLEX 0x00100000
+#define TI_LNK_TX_FLOWCTL_Y 0x00200000 /* Tigon 2 only */
+#define TI_LNK_RX_FLOWCTL_Y 0x00800000
+#define TI_LNK_AUTONEGENB 0x20000000
+#define TI_LNK_ENB 0x40000000
+
+/*
+ * Ring size constants.
+ */
+#define TI_EVENT_RING_CNT 256
+#define TI_CMD_RING_CNT 64
+#define TI_STD_RX_RING_CNT 512
+#define TI_JUMBO_RX_RING_CNT 256
+#define TI_MINI_RX_RING_CNT 1024
+#define TI_RETURN_RING_CNT 2048
+
+/*
+ * Possible TX ring sizes.
+ */
+#define TI_TX_RING_CNT_128 128
+#define TI_TX_RING_BASE_128 0x3800
+
+#define TI_TX_RING_CNT_256 256
+#define TI_TX_RING_BASE_256 0x3000
+
+#define TI_TX_RING_CNT_512 512
+#define TI_TX_RING_BASE_512 0x2000
+
+#define TI_TX_RING_CNT TI_TX_RING_CNT_512
+#define TI_TX_RING_BASE TI_TX_RING_BASE_512
+
+/*
+ * The Tigon can have up to 8MB of external SRAM, however the Tigon 1
+ * is limited to 2MB total, and in general I think most adapters have
+ * around 1MB. We use this value for zeroing the NIC's SRAM, so to
+ * be safe we use the largest possible value (zeroing memory that
+ * isn't there doesn't hurt anything).
+ */
+#define TI_MEM_MAX 0x7FFFFF
+
+/*
+ * Even on the alpha, pci addresses are 32-bit quantities
+ */
+
+#ifdef __64_bit_pci_addressing__
+typedef struct {
+ u_int64_t ti_addr;
+} ti_hostaddr;
+#define TI_HOSTADDR(x) x.ti_addr
+#else
+typedef struct {
+ u_int32_t ti_addr_hi;
+ u_int32_t ti_addr_lo;
+} ti_hostaddr;
+#define TI_HOSTADDR(x) x.ti_addr_lo
+#endif
+
+/*
+ * Ring control block structure. The rules for the max_len field
+ * are as follows:
+ *
+ * For the send ring, max_len indicates the number of entries in the
+ * ring (128, 256 or 512).
+ *
+ * For the standard receive ring, max_len indicates the threshold
+ * used to decide when a frame should be put in the jumbo receive ring
+ * instead of the standard one.
+ *
+ * For the mini ring, max_len indicates the size of the buffers in the
+ * ring. This is the value used to decide when a frame is small enough
+ * to be placed in the mini ring.
+ *
+ * For the return receive ring, max_len indicates the number of entries
+ * in the ring. It can be one of 2048, 1024 or 0 (which is the same as
+ * 2048 for backwards compatibility). The value 1024 can only be used
+ * if the mini ring is disabled.
+ */
+struct ti_rcb {
+ ti_hostaddr ti_hostaddr;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_max_len;
+ u_int16_t ti_flags;
+#else
+ u_int16_t ti_flags;
+ u_int16_t ti_max_len;
+#endif
+ u_int32_t ti_unused;
+};
+
+#define TI_RCB_FLAG_TCP_UDP_CKSUM 0x00000001
+#define TI_RCB_FLAG_IP_CKSUM 0x00000002
+#define TI_RCB_FLAG_NO_PHDR_CKSUM 0x00000008
+#define TI_RCB_FLAG_VLAN_ASSIST 0x00000010
+#define TI_RCB_FLAG_COAL_UPD_ONLY 0x00000020
+#define TI_RCB_FLAG_HOST_RING 0x00000040
+#define TI_RCB_FLAG_IEEE_SNAP_CKSUM 0x00000080
+#define TI_RCB_FLAG_USE_EXT_RX_BD 0x00000100
+#define TI_RCB_FLAG_RING_DISABLED 0x00000200
+
+struct ti_producer {
+ u_int32_t ti_idx;
+ u_int32_t ti_unused;
+};
+
+/*
+ * Tigon statistics counters.
+ */
+struct ti_stats {
+ /*
+ * MAC stats, taken from RFC 1643, ethernet-like MIB
+ */
+ volatile u_int32_t dot3StatsAlignmentErrors; /* 0 */
+ volatile u_int32_t dot3StatsFCSErrors; /* 1 */
+ volatile u_int32_t dot3StatsSingleCollisionFrames; /* 2 */
+ volatile u_int32_t dot3StatsMultipleCollisionFrames; /* 3 */
+ volatile u_int32_t dot3StatsSQETestErrors; /* 4 */
+ volatile u_int32_t dot3StatsDeferredTransmissions; /* 5 */
+ volatile u_int32_t dot3StatsLateCollisions; /* 6 */
+ volatile u_int32_t dot3StatsExcessiveCollisions; /* 7 */
+ volatile u_int32_t dot3StatsInternalMacTransmitErrors; /* 8 */
+ volatile u_int32_t dot3StatsCarrierSenseErrors; /* 9 */
+ volatile u_int32_t dot3StatsFrameTooLongs; /* 10 */
+ volatile u_int32_t dot3StatsInternalMacReceiveErrors; /* 11 */
+ /*
+ * interface stats, taken from RFC 1213, MIB-II, interfaces group
+ */
+ volatile u_int32_t ifIndex; /* 12 */
+ volatile u_int32_t ifType; /* 13 */
+ volatile u_int32_t ifMtu; /* 14 */
+ volatile u_int32_t ifSpeed; /* 15 */
+ volatile u_int32_t ifAdminStatus; /* 16 */
+#define IF_ADMIN_STATUS_UP 1
+#define IF_ADMIN_STATUS_DOWN 2
+#define IF_ADMIN_STATUS_TESTING 3
+ volatile u_int32_t ifOperStatus; /* 17 */
+#define IF_OPER_STATUS_UP 1
+#define IF_OPER_STATUS_DOWN 2
+#define IF_OPER_STATUS_TESTING 3
+#define IF_OPER_STATUS_UNKNOWN 4
+#define IF_OPER_STATUS_DORMANT 5
+ volatile u_int32_t ifLastChange; /* 18 */
+ volatile u_int32_t ifInDiscards; /* 19 */
+ volatile u_int32_t ifInErrors; /* 20 */
+ volatile u_int32_t ifInUnknownProtos; /* 21 */
+ volatile u_int32_t ifOutDiscards; /* 22 */
+ volatile u_int32_t ifOutErrors; /* 23 */
+ volatile u_int32_t ifOutQLen; /* deprecated */ /* 24 */
+ volatile u_int8_t ifPhysAddress[8]; /* 8 bytes */ /* 25 - 26 */
+ volatile u_int8_t ifDescr[32]; /* 27 - 34 */
+ u_int32_t alignIt; /* align to 64 bit for u_int64_ts following */
+ /*
+ * more interface stats, taken from RFC 1573, MIB-IIupdate,
+ * interfaces group
+ */
+ volatile u_int64_t ifHCInOctets; /* 36 - 37 */
+ volatile u_int64_t ifHCInUcastPkts; /* 38 - 39 */
+ volatile u_int64_t ifHCInMulticastPkts; /* 40 - 41 */
+ volatile u_int64_t ifHCInBroadcastPkts; /* 42 - 43 */
+ volatile u_int64_t ifHCOutOctets; /* 44 - 45 */
+ volatile u_int64_t ifHCOutUcastPkts; /* 46 - 47 */
+ volatile u_int64_t ifHCOutMulticastPkts; /* 48 - 49 */
+ volatile u_int64_t ifHCOutBroadcastPkts; /* 50 - 51 */
+ volatile u_int32_t ifLinkUpDownTrapEnable; /* 52 */
+ volatile u_int32_t ifHighSpeed; /* 53 */
+ volatile u_int32_t ifPromiscuousMode; /* 54 */
+ volatile u_int32_t ifConnectorPresent; /* follow link state 55 */
+ /*
+ * Host Commands
+ */
+ volatile u_int32_t nicCmdsHostState; /* 56 */
+ volatile u_int32_t nicCmdsFDRFiltering; /* 57 */
+ volatile u_int32_t nicCmdsSetRecvProdIndex; /* 58 */
+ volatile u_int32_t nicCmdsUpdateGencommStats; /* 59 */
+ volatile u_int32_t nicCmdsResetJumboRing; /* 60 */
+ volatile u_int32_t nicCmdsAddMCastAddr; /* 61 */
+ volatile u_int32_t nicCmdsDelMCastAddr; /* 62 */
+ volatile u_int32_t nicCmdsSetPromiscMode; /* 63 */
+ volatile u_int32_t nicCmdsLinkNegotiate; /* 64 */
+ volatile u_int32_t nicCmdsSetMACAddr; /* 65 */
+ volatile u_int32_t nicCmdsClearProfile; /* 66 */
+ volatile u_int32_t nicCmdsSetMulticastMode; /* 67 */
+ volatile u_int32_t nicCmdsClearStats; /* 68 */
+ volatile u_int32_t nicCmdsSetRecvJumboProdIndex; /* 69 */
+ volatile u_int32_t nicCmdsSetRecvMiniProdIndex; /* 70 */
+ volatile u_int32_t nicCmdsRefreshStats; /* 71 */
+ volatile u_int32_t nicCmdsUnknown; /* 72 */
+ /*
+ * NIC Events
+ */
+ volatile u_int32_t nicEventsNICFirmwareOperational; /* 73 */
+ volatile u_int32_t nicEventsStatsUpdated; /* 74 */
+ volatile u_int32_t nicEventsLinkStateChanged; /* 75 */
+ volatile u_int32_t nicEventsError; /* 76 */
+ volatile u_int32_t nicEventsMCastListUpdated; /* 77 */
+ volatile u_int32_t nicEventsResetJumboRing; /* 78 */
+ /*
+ * Ring manipulation
+ */
+ volatile u_int32_t nicRingSetSendProdIndex; /* 79 */
+ volatile u_int32_t nicRingSetSendConsIndex; /* 80 */
+ volatile u_int32_t nicRingSetRecvReturnProdIndex; /* 81 */
+ /*
+ * Interrupts
+ */
+ volatile u_int32_t nicInterrupts; /* 82 */
+ volatile u_int32_t nicAvoidedInterrupts; /* 83 */
+ /*
+ * BD Coalessing Thresholds
+ */
+ volatile u_int32_t nicEventThresholdHit; /* 84 */
+ volatile u_int32_t nicSendThresholdHit; /* 85 */
+ volatile u_int32_t nicRecvThresholdHit; /* 86 */
+ /*
+ * DMA Attentions
+ */
+ volatile u_int32_t nicDmaRdOverrun; /* 87 */
+ volatile u_int32_t nicDmaRdUnderrun; /* 88 */
+ volatile u_int32_t nicDmaWrOverrun; /* 89 */
+ volatile u_int32_t nicDmaWrUnderrun; /* 90 */
+ volatile u_int32_t nicDmaWrMasterAborts; /* 91 */
+ volatile u_int32_t nicDmaRdMasterAborts; /* 92 */
+ /*
+ * NIC Resources
+ */
+ volatile u_int32_t nicDmaWriteRingFull; /* 93 */
+ volatile u_int32_t nicDmaReadRingFull; /* 94 */
+ volatile u_int32_t nicEventRingFull; /* 95 */
+ volatile u_int32_t nicEventProducerRingFull; /* 96 */
+ volatile u_int32_t nicTxMacDescrRingFull; /* 97 */
+ volatile u_int32_t nicOutOfTxBufSpaceFrameRetry; /* 98 */
+ volatile u_int32_t nicNoMoreWrDMADescriptors; /* 99 */
+ volatile u_int32_t nicNoMoreRxBDs; /* 100 */
+ volatile u_int32_t nicNoSpaceInReturnRing; /* 101 */
+ volatile u_int32_t nicSendBDs; /* current count 102 */
+ volatile u_int32_t nicRecvBDs; /* current count 103 */
+ volatile u_int32_t nicJumboRecvBDs; /* current count 104 */
+ volatile u_int32_t nicMiniRecvBDs; /* current count 105 */
+ volatile u_int32_t nicTotalRecvBDs; /* current count 106 */
+ volatile u_int32_t nicTotalSendBDs; /* current count 107 */
+ volatile u_int32_t nicJumboSpillOver; /* 108 */
+ volatile u_int32_t nicSbusHangCleared; /* 109 */
+ volatile u_int32_t nicEnqEventDelayed; /* 110 */
+ /*
+ * Stats from MAC rx completion
+ */
+ volatile u_int32_t nicMacRxLateColls; /* 111 */
+ volatile u_int32_t nicMacRxLinkLostDuringPkt; /* 112 */
+ volatile u_int32_t nicMacRxPhyDecodeErr; /* 113 */
+ volatile u_int32_t nicMacRxMacAbort; /* 114 */
+ volatile u_int32_t nicMacRxTruncNoResources; /* 115 */
+ /*
+ * Stats from the mac_stats area
+ */
+ volatile u_int32_t nicMacRxDropUla; /* 116 */
+ volatile u_int32_t nicMacRxDropMcast; /* 117 */
+ volatile u_int32_t nicMacRxFlowControl; /* 118 */
+ volatile u_int32_t nicMacRxDropSpace; /* 119 */
+ volatile u_int32_t nicMacRxColls; /* 120 */
+ /*
+ * MAC RX Attentions
+ */
+ volatile u_int32_t nicMacRxTotalAttns; /* 121 */
+ volatile u_int32_t nicMacRxLinkAttns; /* 122 */
+ volatile u_int32_t nicMacRxSyncAttns; /* 123 */
+ volatile u_int32_t nicMacRxConfigAttns; /* 124 */
+ volatile u_int32_t nicMacReset; /* 125 */
+ volatile u_int32_t nicMacRxBufDescrAttns; /* 126 */
+ volatile u_int32_t nicMacRxBufAttns; /* 127 */
+ volatile u_int32_t nicMacRxZeroFrameCleanup; /* 128 */
+ volatile u_int32_t nicMacRxOneFrameCleanup; /* 129 */
+ volatile u_int32_t nicMacRxMultipleFrameCleanup; /* 130 */
+ volatile u_int32_t nicMacRxTimerCleanup; /* 131 */
+ volatile u_int32_t nicMacRxDmaCleanup; /* 132 */
+ /*
+ * Stats from the mac_stats area
+ */
+ volatile u_int32_t nicMacTxCollisionHistogram[15]; /* 133 */
+ /*
+ * MAC TX Attentions
+ */
+ volatile u_int32_t nicMacTxTotalAttns; /* 134 */
+ /*
+ * NIC Profile
+ */
+ volatile u_int32_t nicProfile[32]; /* 135 */
+ /*
+ * Pat to 1024 bytes.
+ */
+ u_int32_t pad[75];
+};
+/*
+ * Tigon general information block. This resides in host memory
+ * and contains the status counters, ring control blocks and
+ * producer pointers.
+ */
+
+struct ti_gib {
+ struct ti_stats ti_stats;
+ struct ti_rcb ti_ev_rcb;
+ struct ti_rcb ti_cmd_rcb;
+ struct ti_rcb ti_tx_rcb;
+ struct ti_rcb ti_std_rx_rcb;
+ struct ti_rcb ti_jumbo_rx_rcb;
+ struct ti_rcb ti_mini_rx_rcb;
+ struct ti_rcb ti_return_rcb;
+ ti_hostaddr ti_ev_prodidx_ptr;
+ ti_hostaddr ti_return_prodidx_ptr;
+ ti_hostaddr ti_tx_considx_ptr;
+ ti_hostaddr ti_refresh_stats_ptr;
+};
+
+/*
+ * Buffer descriptor structures. There are basically three types
+ * of structures: normal receive descriptors, extended receive
+ * descriptors and transmit descriptors. The extended receive
+ * descriptors are optionally used only for the jumbo receive ring.
+ */
+
+struct ti_rx_desc {
+ ti_hostaddr ti_addr;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_idx;
+ u_int16_t ti_len;
+#else
+ u_int16_t ti_len;
+ u_int16_t ti_idx;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_type;
+ u_int16_t ti_flags;
+#else
+ u_int16_t ti_flags;
+ u_int16_t ti_type;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_ip_cksum;
+ u_int16_t ti_tcp_udp_cksum;
+#else
+ u_int16_t ti_tcp_udp_cksum;
+ u_int16_t ti_ip_cksum;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_error_flags;
+ u_int16_t ti_vlan_tag;
+#else
+ u_int16_t ti_vlan_tag;
+ u_int16_t ti_error_flags;
+#endif
+ u_int32_t ti_rsvd;
+ u_int32_t ti_opaque;
+};
+
+struct ti_rx_desc_ext {
+ ti_hostaddr ti_addr1;
+ ti_hostaddr ti_addr2;
+ ti_hostaddr ti_addr3;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_len1;
+ u_int16_t ti_len2;
+#else
+ u_int16_t ti_len2;
+ u_int16_t ti_len1;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_len3;
+ u_int16_t ti_rsvd0;
+#else
+ u_int16_t ti_rsvd0;
+ u_int16_t ti_len3;
+#endif
+ ti_hostaddr ti_addr0;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_idx;
+ u_int16_t ti_len0;
+#else
+ u_int16_t ti_len0;
+ u_int16_t ti_idx;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_type;
+ u_int16_t ti_flags;
+#else
+ u_int16_t ti_flags;
+ u_int16_t ti_type;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_ip_cksum;
+ u_int16_t ti_tcp_udp_cksum;
+#else
+ u_int16_t ti_tcp_udp_cksum;
+ u_int16_t ti_ip_cksum;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_error_flags;
+ u_int16_t ti_vlan_tag;
+#else
+ u_int16_t ti_vlan_tag;
+ u_int16_t ti_error_flags;
+#endif
+ u_int32_t ti_rsvd1;
+ u_int32_t ti_opaque;
+};
+
+/*
+ * Transmit descriptors are, mercifully, very small.
+ */
+struct ti_tx_desc {
+ ti_hostaddr ti_addr;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_len;
+ u_int16_t ti_flags;
+#else
+ u_int16_t ti_flags;
+ u_int16_t ti_len;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_rsvd;
+ u_int16_t ti_vlan_tag;
+#else
+ u_int16_t ti_vlan_tag;
+ u_int16_t ti_rsvd;
+#endif
+};
+
+/*
+ * NOTE! On the Alpha, we have an alignment constraint.
+ * The first thing in the packet is a 14-byte Ethernet header.
+ * This means that the packet is misaligned. To compensate,
+ * we actually offset the data 2 bytes into the cluster. This
+ * alignes the packet after the Ethernet header at a 32-bit
+ * boundary.
+ */
+
+#ifdef __alpha__
+#define ETHER_ALIGN 2
+#endif
+
+#ifdef __i386__
+#define ETHER_ALIGN 0
+#endif
+
+
+#define TI_FRAMELEN 1518
+#define TI_JUMBO_FRAMELEN 9018 + ETHER_ALIGN
+#define TI_JUMBO_MTU (TI_JUMBO_FRAMELEN-ETHER_HDR_LEN-ETHER_CRC_LEN)
+#define TI_PAGE_SIZE PAGE_SIZE
+#define TI_MIN_FRAMELEN 60
+
+/*
+ * Buffer descriptor error flags.
+ */
+#define TI_BDERR_CRC 0x0001
+#define TI_BDERR_COLLDETECT 0x0002
+#define TI_BDERR_LINKLOST 0x0004
+#define TI_BDERR_DECODE 0x0008
+#define TI_BDERR_ODD_NIBBLES 0x0010
+#define TI_BDERR_MAC_ABRT 0x0020
+#define TI_BDERR_RUNT 0x0040
+#define TI_BDERR_TRUNC 0x0080
+#define TI_BDERR_GIANT 0x0100
+
+/*
+ * Buffer descriptor flags.
+ */
+#define TI_BDFLAG_TCP_UDP_CKSUM 0x0001
+#define TI_BDFLAG_IP_CKSUM 0x0002
+#define TI_BDFLAG_END 0x0004
+#define TI_BDFLAG_MORE 0x0008
+#define TI_BDFLAG_JUMBO_RING 0x0010
+#define TI_BDFLAG_UCAST_PKT 0x0020
+#define TI_BDFLAG_MCAST_PKT 0x0040
+#define TI_BDFLAG_BCAST_PKT 0x0060
+#define TI_BDFLAG_IP_FRAG 0x0080
+#define TI_BDFLAG_IP_FRAG_END 0x0100
+#define TI_BDFLAG_VLAN_TAG 0x0200
+#define TI_BDFLAG_ERROR 0x0400
+#define TI_BDFLAG_COAL_NOW 0x0800
+#define TI_BDFLAG_MINI_RING 0x1000
+
+/*
+ * Descriptor type flags. I think these only have meaning for
+ * the Tigon 1. I had to extract them from the sample driver source
+ * since they aren't in the manual.
+ */
+#define TI_BDTYPE_TYPE_NULL 0x0000
+#define TI_BDTYPE_SEND_BD 0x0001
+#define TI_BDTYPE_RECV_BD 0x0002
+#define TI_BDTYPE_RECV_JUMBO_BD 0x0003
+#define TI_BDTYPE_RECV_BD_LAST 0x0004
+#define TI_BDTYPE_SEND_DATA 0x0005
+#define TI_BDTYPE_SEND_DATA_LAST 0x0006
+#define TI_BDTYPE_RECV_DATA 0x0007
+#define TI_BDTYPE_RECV_DATA_LAST 0x000b
+#define TI_BDTYPE_EVENT_RUPT 0x000c
+#define TI_BDTYPE_EVENT_NO_RUPT 0x000d
+#define TI_BDTYPE_ODD_START 0x000e
+#define TI_BDTYPE_UPDATE_STATS 0x000f
+#define TI_BDTYPE_SEND_DUMMY_DMA 0x0010
+#define TI_BDTYPE_EVENT_PROD 0x0011
+#define TI_BDTYPE_TX_CONS 0x0012
+#define TI_BDTYPE_RX_PROD 0x0013
+#define TI_BDTYPE_REFRESH_STATS 0x0014
+#define TI_BDTYPE_SEND_DATA_LAST_VLAN 0x0015
+#define TI_BDTYPE_SEND_DATA_COAL 0x0016
+#define TI_BDTYPE_SEND_DATA_LAST_COAL 0x0017
+#define TI_BDTYPE_SEND_DATA_LAST_VLAN_COAL 0x0018
+#define TI_BDTYPE_TX_CONS_NO_INTR 0x0019
+
+/*
+ * Tigon command structure.
+ */
+struct ti_cmd_desc {
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int32_t ti_cmd:8;
+ u_int32_t ti_code:12;
+ u_int32_t ti_idx:12;
+#else
+ u_int32_t ti_idx:12;
+ u_int32_t ti_code:12;
+ u_int32_t ti_cmd:8;
+#endif
+};
+
+#define TI_CMD_HOST_STATE 0x01
+#define TI_CMD_CODE_STACK_UP 0x01
+#define TI_CMD_CODE_STACK_DOWN 0x02
+
+/*
+ * This command enables software address filtering. It's a workaround
+ * for a bug in the Tigon 1 and not implemented for the Tigon 2.
+ */
+#define TI_CMD_FDR_FILTERING 0x02
+#define TI_CMD_CODE_FILT_ENB 0x01
+#define TI_CMD_CODE_FILT_DIS 0x02
+
+#define TI_CMD_SET_RX_PROD_IDX 0x03 /* obsolete */
+#define TI_CMD_UPDATE_GENCOM 0x04
+#define TI_CMD_RESET_JUMBO_RING 0x05
+#define TI_CMD_SET_PARTIAL_RX_CNT 0x06
+#define TI_CMD_ADD_MCAST_ADDR 0x08 /* obsolete */
+#define TI_CMD_DEL_MCAST_ADDR 0x09 /* obsolete */
+
+#define TI_CMD_SET_PROMISC_MODE 0x0A
+#define TI_CMD_CODE_PROMISC_ENB 0x01
+#define TI_CMD_CODE_PROMISC_DIS 0x02
+
+#define TI_CMD_LINK_NEGOTIATION 0x0B
+#define TI_CMD_CODE_NEGOTIATE_BOTH 0x00
+#define TI_CMD_CODE_NEGOTIATE_GIGABIT 0x01
+#define TI_CMD_CODE_NEGOTIATE_10_100 0x02
+
+#define TI_CMD_SET_MAC_ADDR 0x0C
+#define TI_CMD_CLR_PROFILE 0x0D
+
+#define TI_CMD_SET_ALLMULTI 0x0E
+#define TI_CMD_CODE_ALLMULTI_ENB 0x01
+#define TI_CMD_CODE_ALLMULTI_DIS 0x02
+
+#define TI_CMD_CLR_STATS 0x0F
+#define TI_CMD_SET_RX_JUMBO_PROD_IDX 0x10 /* obsolete */
+#define TI_CMD_RFRSH_STATS 0x11
+
+#define TI_CMD_EXT_ADD_MCAST 0x12
+#define TI_CMD_EXT_DEL_MCAST 0x13
+
+/*
+ * Utility macros to make issuing commands a little simpler. Assumes
+ * that 'sc' and 'cmd' are in local scope.
+ */
+#define TI_DO_CMD(x, y, z) \
+ cmd.ti_cmd = x; \
+ cmd.ti_code = y; \
+ cmd.ti_idx = z; \
+ ti_cmd(sc, &cmd);
+
+#define TI_DO_CMD_EXT(x, y, z, v, w) \
+ cmd.ti_cmd = x; \
+ cmd.ti_code = y; \
+ cmd.ti_idx = z; \
+ ti_cmd_ext(sc, &cmd, v, w);
+
+/*
+ * Other utility macros.
+ */
+#define TI_INC(x, y) (x) = (x + 1) % y
+
+#define TI_UPDATE_JUMBOPROD(x, y) \
+ if (x->ti_hwrev == TI_HWREV_TIGON) { \
+ TI_DO_CMD(TI_CMD_SET_RX_JUMBO_PROD_IDX, 0, y); \
+ } else { \
+ CSR_WRITE_4(x, TI_MB_JUMBORXPROD_IDX, y); \
+ }
+
+#define TI_UPDATE_MINIPROD(x, y) \
+ CSR_WRITE_4(x, TI_MB_MINIRXPROD_IDX, y);
+
+#define TI_UPDATE_STDPROD(x, y) \
+ if (x->ti_hwrev == TI_HWREV_TIGON) { \
+ TI_DO_CMD(TI_CMD_SET_RX_PROD_IDX, 0, y); \
+ } else { \
+ CSR_WRITE_4(x, TI_MB_STDRXPROD_IDX, y); \
+ }
+
+
+/*
+ * Tigon event structure.
+ */
+struct ti_event_desc {
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int32_t ti_event:8;
+ u_int32_t ti_code:12;
+ u_int32_t ti_idx:12;
+#else
+ u_int32_t ti_idx:12;
+ u_int32_t ti_code:12;
+ u_int32_t ti_event:8;
+#endif
+ u_int32_t ti_rsvd;
+};
+
+/*
+ * Tigon events.
+ */
+#define TI_EV_FIRMWARE_UP 0x01
+#define TI_EV_STATS_UPDATED 0x04
+
+#define TI_EV_LINKSTAT_CHANGED 0x06
+#define TI_EV_CODE_GIG_LINK_UP 0x01
+#define TI_EV_CODE_LINK_DOWN 0x02
+#define TI_EV_CODE_LINK_UP 0x03
+
+#define TI_EV_ERROR 0x07
+#define TI_EV_CODE_ERR_INVAL_CMD 0x01
+#define TI_EV_CODE_ERR_UNIMP_CMD 0x02
+#define TI_EV_CODE_ERR_BADCFG 0x03
+
+#define TI_EV_MCAST_UPDATED 0x08
+#define TI_EV_CODE_MCAST_ADD 0x01
+#define TI_EV_CODE_MCAST_DEL 0x02
+
+#define TI_EV_RESET_JUMBO_RING 0x09
+/*
+ * Register access macros. The Tigon always uses memory mapped register
+ * accesses and all registers must be accessed with 32 bit operations.
+ */
+
+#define CSR_WRITE_4(sc, reg, val) \
+ bus_space_write_4(sc->ti_btag, sc->ti_bhandle, reg, val)
+
+#define CSR_READ_4(sc, reg) \
+ bus_space_read_4(sc->ti_btag, sc->ti_bhandle, reg)
+
+#define TI_SETBIT(sc, reg, x) \
+ CSR_WRITE_4(sc, reg, (CSR_READ_4(sc, reg) | x))
+#define TI_CLRBIT(sc, reg, x) \
+ CSR_WRITE_4(sc, reg, (CSR_READ_4(sc, reg) & ~x))
+
+/*
+ * Memory management stuff. Note: the SSLOTS, MSLOTS and JSLOTS
+ * values are tuneable. They control the actual amount of buffers
+ * allocated for the standard, mini and jumbo receive rings.
+ */
+
+#define TI_SSLOTS 256
+#define TI_MSLOTS 256
+#define TI_JSLOTS 256
+
+#define TI_JRAWLEN (TI_JUMBO_FRAMELEN + sizeof(u_int64_t))
+#define TI_JLEN (TI_JRAWLEN + (sizeof(u_int64_t) - \
+ (TI_JRAWLEN % sizeof(u_int64_t))))
+#define TI_JPAGESZ PAGE_SIZE
+#define TI_RESID (TI_JPAGESZ - (TI_JLEN * TI_JSLOTS) % TI_JPAGESZ)
+#define TI_JMEM ((TI_JLEN * TI_JSLOTS) + TI_RESID)
+
+struct ti_jslot {
+ caddr_t ti_buf;
+ int ti_inuse;
+};
+
+/*
+ * Ring structures. Most of these reside in host memory and we tell
+ * the NIC where they are via the ring control blocks. The exceptions
+ * are the tx and command rings, which live in NIC memory and which
+ * we access via the shared memory window.
+ */
+struct ti_ring_data {
+ struct ti_rx_desc ti_rx_std_ring[TI_STD_RX_RING_CNT];
+ struct ti_rx_desc ti_rx_jumbo_ring[TI_JUMBO_RX_RING_CNT];
+ struct ti_rx_desc ti_rx_mini_ring[TI_MINI_RX_RING_CNT];
+ struct ti_rx_desc ti_rx_return_ring[TI_RETURN_RING_CNT];
+ struct ti_event_desc ti_event_ring[TI_EVENT_RING_CNT];
+ struct ti_tx_desc ti_tx_ring[TI_TX_RING_CNT];
+ /*
+ * Make sure producer structures are aligned on 32-byte cache
+ * line boundaries.
+ */
+ struct ti_producer ti_ev_prodidx_r;
+ u_int32_t ti_pad0[6];
+ struct ti_producer ti_return_prodidx_r;
+ u_int32_t ti_pad1[6];
+ struct ti_producer ti_tx_considx_r;
+ u_int32_t ti_pad2[6];
+ struct ti_tx_desc *ti_tx_ring_nic;/* pointer to shared mem */
+ struct ti_cmd_desc *ti_cmd_ring; /* pointer to shared mem */
+ struct ti_gib ti_info;
+};
+
+/*
+ * Mbuf pointers. We need these to keep track of the virtual addresses
+ * of our mbuf chains since we can only convert from physical to virtual,
+ * not the other way around.
+ */
+struct ti_chain_data {
+ struct mbuf *ti_tx_chain[TI_TX_RING_CNT];
+ struct mbuf *ti_rx_std_chain[TI_STD_RX_RING_CNT];
+ struct mbuf *ti_rx_jumbo_chain[TI_JUMBO_RX_RING_CNT];
+ struct mbuf *ti_rx_mini_chain[TI_MINI_RX_RING_CNT];
+ /* Stick the jumbo mem management stuff here too. */
+ struct ti_jslot ti_jslots[TI_JSLOTS];
+ void *ti_jumbo_buf;
+};
+
+struct ti_type {
+ u_int16_t ti_vid;
+ u_int16_t ti_did;
+ char *ti_name;
+};
+
+#define TI_HWREV_TIGON 0x01
+#define TI_HWREV_TIGON_II 0x02
+#define TI_TIMEOUT 1000
+#define TI_TXCONS_UNSET 0xFFFF /* impossible value */
+
+struct ti_mc_entry {
+ struct ether_addr mc_addr;
+ SLIST_ENTRY(ti_mc_entry) mc_entries;
+};
+
+struct ti_jpool_entry {
+ int slot;
+ SLIST_ENTRY(ti_jpool_entry) jpool_entries;
+};
+
+struct ti_softc {
+ struct arpcom arpcom; /* interface info */
+ bus_space_handle_t ti_bhandle;
+#ifdef __alpha__
+ vm_offset_t ti_vhandle; /* alpha dense space vaddr */
+#endif
+ bus_space_tag_t ti_btag;
+ struct ifmedia ifmedia; /* media info */
+ u_int8_t ti_unit; /* interface number */
+ u_int8_t ti_hwrev; /* Tigon rev (1 or 2) */
+ u_int8_t ti_linkstat; /* Link state */
+ caddr_t ti_rdata_ptr; /* Raw ring data */
+ struct ti_ring_data *ti_rdata; /* rings */
+ struct ti_chain_data ti_cdata; /* mbufs */
+#define ti_ev_prodidx ti_rdata->ti_ev_prodidx_r
+#define ti_return_prodidx ti_rdata->ti_return_prodidx_r
+#define ti_tx_considx ti_rdata->ti_tx_considx_r
+ u_int16_t ti_tx_saved_considx;
+ u_int16_t ti_rx_saved_considx;
+ u_int16_t ti_ev_saved_considx;
+ u_int16_t ti_cmd_saved_prodidx;
+ u_int16_t ti_std; /* current std ring head */
+ u_int16_t ti_mini; /* current mini ring head */
+ u_int16_t ti_jumbo; /* current jumo ring head */
+ u_int16_t ti_std_old;
+ u_int16_t ti_mini_old;
+ u_int16_t ti_jumbo_old;
+ u_int16_t ti_std_cnt;
+ u_int16_t ti_mini_cnt;
+ u_int16_t ti_jumbo_cnt;
+ SLIST_HEAD(__ti_mchead, ti_mc_entry) ti_mc_listhead;
+ SLIST_HEAD(__ti_jfreehead, ti_jpool_entry) ti_jfree_listhead;
+ SLIST_HEAD(__ti_jinusehead, ti_jpool_entry) ti_jinuse_listhead;
+ u_int32_t ti_stat_ticks;
+ u_int32_t ti_rx_coal_ticks;
+ u_int32_t ti_tx_coal_ticks;
+ u_int32_t ti_rx_max_coal_bds;
+ u_int32_t ti_tx_max_coal_bds;
+ u_int32_t ti_tx_buf_ratio;
+ int ti_if_flags;
+};
+
+/*
+ * Microchip Technology 24Cxx EEPROM control bytes
+ */
+#define EEPROM_CTL_READ 0xA1 /* 0101 0001 */
+#define EEPROM_CTL_WRITE 0xA0 /* 0101 0000 */
+
+/*
+ * Note that EEPROM_START leaves transmission enabled.
+ */
+#define EEPROM_START \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK); /* Pull clock pin high */\
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT); /* Set DATA bit to 1 */ \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN); /* Enable xmit to write bit */\
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT); /* Pull DATA bit to 0 again */\
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK); /* Pull clock low again */
+
+/*
+ * EEPROM_STOP ends access to the EEPROM and clears the ETXEN bit so
+ * that no further data can be written to the EEPROM I/O pin.
+ */
+#define EEPROM_STOP \
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN); /* Disable xmit */ \
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT); /* Pull DATA to 0 */ \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK); /* Pull clock high */ \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN); /* Enable xmit */ \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT); /* Toggle DATA to 1 */ \
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN); /* Disable xmit. */ \
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK); /* Pull clock low again */
+
+#ifdef __alpha__
+#undef vtophys
+#define vtophys(va) (pmap_kextract(((vm_offset_t) (va))) \
+ + 1*1024*1024*1024)
+#endif
+
diff --git a/sys/dev/ti/ti_fw.h b/sys/dev/ti/ti_fw.h
new file mode 100644
index 0000000..5134981
--- /dev/null
+++ b/sys/dev/ti/ti_fw.h
@@ -0,0 +1,4459 @@
+/*
+ * Firmware for Alteon Tigon 1 chip.
+ * Generated by genfw.c
+ *
+ * $Id$
+ */
+static int tigonFwReleaseMajor = 0xc;
+static int tigonFwReleaseMinor = 0x3;
+static int tigonFwReleaseFix = 0x9;
+static u_int32_t tigonFwStartAddr = 0x00004000;
+static u_int32_t tigonFwTextAddr = 0x00004000;
+static int tigonFwTextLen = 0x10920;
+static u_int32_t tigonFwRodataAddr = 0x00014920;
+static int tigonFwRodataLen = 0xaa0;
+static u_int32_t tigonFwDataAddr = 0x000153e0;
+static int tigonFwDataLen = 0x150;
+static u_int32_t tigonFwSbssAddr = 0x00015530;
+static int tigonFwSbssLen = 0x2c;
+static u_int32_t tigonFwBssAddr = 0x00015560;
+static int tigonFwBssLen = 0x2080;
+static u_int32_t tigonFwText[] = {
+0x10000003,
+0x0, 0xd, 0xd, 0x3c1d0001,
+0x8fbd5414, 0x3a0f021, 0x3c100000, 0x26104000,
+0xc00100c, 0x0, 0xd, 0x27bdffd8,
+0x3c1cc000, 0x3c1b0013, 0x377bd800, 0xd021,
+0x3c170013, 0x36f75418, 0x2e02021, 0x340583e8,
+0xafbf0024, 0xc00248c, 0xafb00020, 0xc0023ec,
+0x0, 0x3c040001, 0x24844984, 0x24050001,
+0x2e03021, 0x3821, 0x3c100001, 0x261075e0,
+0xafb00010, 0xc002407, 0xafbb0014, 0x3c02000f,
+0x3442ffff, 0x2021024, 0x362102b, 0x10400009,
+0x24050003, 0x3c040001, 0x24844990, 0x2003021,
+0x3603821, 0x3c020010, 0xafa20010, 0xc002407,
+0xafa00014, 0x2021, 0x3405c000, 0x3c010001,
+0x370821, 0xa02083b0, 0x3c010001, 0x370821,
+0xa02083b2, 0x3c010001, 0x370821, 0xa02083b3,
+0x3c010001, 0x370821, 0xac2083b4, 0xa2e004d8,
+0x418c0, 0x24840001, 0x771021, 0xac40727c,
+0x771021, 0xac407280, 0x2e31021, 0xa445727c,
+0x2c820020, 0x1440fff7, 0x418c0, 0x2021,
+0x3405c000, 0x418c0, 0x24840001, 0x771021,
+0xac40737c, 0x771021, 0xac407380, 0x2e31021,
+0xa445737c, 0x2c820080, 0x5440fff7, 0x418c0,
+0xaf800054, 0xaf80011c, 0x8f820044, 0x34420040,
+0xaf820044, 0x8f820044, 0x34420020, 0xaf820044,
+0x8f420218, 0x30420002, 0x10400009, 0x0,
+0x8f420220, 0x3c030002, 0x34630004, 0x431025,
+0xaee204c4, 0x8f42021c, 0x8001074, 0x34420004,
+0x8f420220, 0x3c030002, 0x34630006, 0x431025,
+0xaee204c4, 0x8f42021c, 0x34420006, 0xaee204cc,
+0x8f420218, 0x30420010, 0x1040000a, 0x0,
+0x8f42021c, 0x34420004, 0xaee204c8, 0x8f420220,
+0x3c03000a, 0x34630004, 0x431025, 0x800108a,
+0xaee204c0, 0x8f420220, 0x3c03000a, 0x34630006,
+0x431025, 0xaee204c0, 0x8f42021c, 0x34420006,
+0xaee204c8, 0x8f420218, 0x30420200, 0x10400003,
+0x24020001, 0x8001091, 0xa2e27248, 0xa2e07248,
+0x24020001, 0xaf8200a0, 0xaf8200b0, 0x8f830054,
+0x8f820054, 0x8001099, 0x24630064, 0x8f820054,
+0x621023, 0x2c420065, 0x1440fffc, 0x0,
+0xaf800044, 0x8f420208, 0x8f43020c, 0xaee20010,
+0xaee30014, 0x8ee40010, 0x8ee50014, 0x26e20030,
+0xaee20028, 0x24020490, 0xaee20018, 0xaf840090,
+0xaf850094, 0x8ee20028, 0xaf8200b4, 0x96e2001a,
+0xaf82009c, 0x8f8200b0, 0x8ee304cc, 0x431025,
+0xaf8200b0, 0x8f8200b0, 0x30420004, 0x1440fffd,
+0x0, 0x8ee20450, 0x8ee30454, 0xaee304fc,
+0x8ee204fc, 0x2442e000, 0x2c422001, 0x1440000d,
+0x26e40030, 0x8ee20450, 0x8ee30454, 0x3c040001,
+0x2484499c, 0x3c050001, 0xafa00010, 0xafa00014,
+0x8ee704fc, 0x34a5f000, 0xc002407, 0x603021,
+0x26e40030, 0xc00248c, 0x24050400, 0x27440080,
+0xc00248c, 0x24050080, 0x26e4777c, 0xc00248c,
+0x24050400, 0x8f42025c, 0x26e40094, 0xaee20060,
+0x8f420260, 0x27450200, 0x24060008, 0xaee20068,
+0x24020006, 0xc00249e, 0xaee20064, 0x3c023b9a,
+0x3442ca00, 0x2021, 0x24030002, 0xaee30074,
+0xaee30070, 0xaee2006c, 0x240203e8, 0xaee20104,
+0x24020001, 0xaee30100, 0xaee2010c, 0x3c030001,
+0x641821, 0x906353e0, 0x2e41021, 0x24840001,
+0xa043009c, 0x2c82000f, 0x1440fff8, 0x0,
+0x8f820040, 0x2e41821, 0x24840001, 0x21702,
+0x24420030, 0xa062009c, 0x2e41021, 0xa040009c,
+0x96e2046a, 0x30420003, 0x14400009, 0x0,
+0x96e2047a, 0x30420003, 0x50400131, 0x3c030800,
+0x96e2046a, 0x30420003, 0x1040002a, 0x3c020700,
+0x96e2047a, 0x30420003, 0x10400026, 0x3c020700,
+0x96e3047a, 0x96e2046a, 0x14620022, 0x3c020700,
+0x8ee204c0, 0x24030001, 0xa2e34e20, 0x34420e00,
+0xaee204c0, 0x8f420218, 0x30420100, 0x10400005,
+0x0, 0x3c020001, 0x2442e178, 0x800111d,
+0x21100, 0x3c020001, 0x2442d36c, 0x21100,
+0x21182, 0x3c030800, 0x431025, 0x3c010001,
+0xac221238, 0x3c020001, 0x2442f690, 0x21100,
+0x21182, 0x3c030800, 0x431025, 0x3c010001,
+0xac221278, 0x8ee20000, 0x34424000, 0x8001238,
+0xaee20000, 0x34423000, 0xafa20018, 0x8ee20608,
+0x8f430228, 0x24420001, 0x304900ff, 0x512300e2,
+0xafa00010, 0x8ee20608, 0x210c0, 0x571021,
+0x8fa30018, 0x8fa4001c, 0xac43060c, 0xac440610,
+0x8f870120, 0x27623800, 0x24e80020, 0x102102b,
+0x50400001, 0x27683000, 0x8f820128, 0x11020004,
+0x0, 0x8f820124, 0x15020007, 0x1021,
+0x8ee201a4, 0x3021, 0x24420001, 0xaee201a4,
+0x80011a0, 0x8ee201a4, 0x8ee40608, 0x420c0,
+0x801821, 0x8ee40430, 0x8ee50434, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0xace40000,
+0xace50004, 0x8ee30608, 0x24020008, 0xa4e2000e,
+0x2402000d, 0xace20018, 0xace9001c, 0x318c0,
+0x2463060c, 0x2e31021, 0xace20008, 0x8ee204c4,
+0xace20010, 0xaf880120, 0x92e24e20, 0x14400037,
+0x24060001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c830000, 0x24020007, 0x1462001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee54e30, 0x24420001, 0x10430007,
+0x0, 0x8ee24e34, 0x24420001, 0x10a20005,
+0x0, 0x800118a, 0x0, 0x14a00005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x80011a0, 0x0, 0x8ee24e30,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x24020007,
+0xac820000, 0x24020001, 0xac820004, 0x54c0000c,
+0xaee90608, 0x3c040001, 0x248449a8, 0xafa00010,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f000, 0x8001223, 0x0,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x3021, 0x24420001, 0xaee201a4,
+0x8001207, 0x8ee201a4, 0x8ee20608, 0xac62001c,
+0x8ee404a0, 0x8ee504a4, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400037, 0x24060001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020012, 0x1462001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee54e30, 0x24420001, 0x10430007, 0x0,
+0x8ee24e34, 0x24420001, 0x10a20005, 0x0,
+0x80011f1, 0x0, 0x14a00005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x8001207, 0x0, 0x8ee24e30, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020012, 0xac820000,
+0x24020001, 0xac820004, 0x14c0001b, 0x0,
+0x3c040001, 0x248449b0, 0xafa00010, 0xafa00014,
+0x8ee60608, 0x8f470228, 0x3c050009, 0xc002407,
+0x34a5f001, 0x8ee201b0, 0x24420001, 0xaee201b0,
+0x8001223, 0x8ee201b0, 0x3c040001, 0x248449bc,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f005, 0x8ee201ac, 0x24420001,
+0xaee201ac, 0x8ee201ac, 0x8ee20160, 0x3c040001,
+0x248449c8, 0x3405f001, 0x24420001, 0xaee20160,
+0x8ee20160, 0x3021, 0x3821, 0xafa00010,
+0xc002407, 0xafa00014, 0x8001238, 0x0,
+0x3c020001, 0x2442f5b8, 0x21100, 0x21182,
+0x431025, 0x3c010001, 0xac221278, 0x96e2045a,
+0x30420003, 0x10400025, 0x3c050fff, 0x8ee204c8,
+0x34a5ffff, 0x34420a00, 0xaee204c8, 0x8ee304c8,
+0x3c040001, 0x248449d4, 0x24020001, 0xa2e204ec,
+0xa2e204ed, 0x3c020002, 0x621825, 0x3c020001,
+0x2442a3a0, 0x451024, 0x21082, 0xaee304c8,
+0x3c030800, 0x431025, 0x3c010001, 0xac221220,
+0x3c020001, 0x2442ade4, 0x451024, 0x21082,
+0x431025, 0x3c010001, 0xac221280, 0x96e6045a,
+0x3821, 0x24050011, 0xafa00010, 0xc002407,
+0xafa00014, 0x8001268, 0x0, 0x3c020001,
+0x2442a9e4, 0x21100, 0x21182, 0x3c030800,
+0x431025, 0x3c010001, 0xac221280, 0x96e2046a,
+0x30420010, 0x14400009, 0x0, 0x96e2047a,
+0x30420010, 0x10400112, 0x0, 0x96e2046a,
+0x30420010, 0x10400005, 0x3c020700, 0x96e2047a,
+0x30420010, 0x14400102, 0x3c020700, 0x34423000,
+0xafa20018, 0x8ee20608, 0x8f430228, 0x24420001,
+0x304900ff, 0x512300e2, 0xafa00010, 0x8ee20608,
+0x210c0, 0x571021, 0x8fa30018, 0x8fa4001c,
+0xac43060c, 0xac440610, 0x8f870120, 0x27623800,
+0x24e80020, 0x102102b, 0x50400001, 0x27683000,
+0x8f820128, 0x11020004, 0x0, 0x8f820124,
+0x15020007, 0x1021, 0x8ee201a4, 0x3021,
+0x24420001, 0xaee201a4, 0x80012ea, 0x8ee201a4,
+0x8ee40608, 0x420c0, 0x801821, 0x8ee40430,
+0x8ee50434, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee30608,
+0x24020008, 0xa4e2000e, 0x2402000d, 0xace20018,
+0xace9001c, 0x318c0, 0x2463060c, 0x2e31021,
+0xace20008, 0x8ee204c4, 0xace20010, 0xaf880120,
+0x92e24e20, 0x14400037, 0x24060001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020007, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee54e30,
+0x24420001, 0x10430007, 0x0, 0x8ee24e34,
+0x24420001, 0x10a20005, 0x0, 0x80012d4,
+0x0, 0x14a00005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x80012ea,
+0x0, 0x8ee24e30, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020007, 0xac820000, 0x24020001,
+0xac820004, 0x54c0000c, 0xaee90608, 0x3c040001,
+0x248449a8, 0xafa00010, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f000,
+0x800136d, 0x0, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x3021,
+0x24420001, 0xaee201a4, 0x8001351, 0x8ee201a4,
+0x8ee20608, 0xac62001c, 0x8ee404a0, 0x8ee504a4,
+0x2462001c, 0xac620008, 0x24020008, 0xa462000e,
+0x24020011, 0xac620018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400037, 0x24060001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020012,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x800133b, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x8001351, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020012, 0xac820000, 0x24020001, 0xac820004,
+0x14c0001b, 0x0, 0x3c040001, 0x248449b0,
+0xafa00010, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f001, 0x8ee201b0,
+0x24420001, 0xaee201b0, 0x800136d, 0x8ee201b0,
+0x3c040001, 0x248449bc, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f005,
+0x8ee201ac, 0x24420001, 0xaee201ac, 0x8ee201ac,
+0x8ee20160, 0x3c040001, 0x248449c8, 0x3405f002,
+0x24420001, 0xaee20160, 0x8ee20160, 0x3021,
+0x3821, 0xafa00010, 0xc002407, 0xafa00014,
+0x96e6047a, 0x96e7046a, 0x3c040001, 0x248449e0,
+0x24050012, 0xafa00010, 0xc002407, 0xafa00014,
+0xc004500, 0x0, 0xc002318, 0x0,
+0x3c060001, 0x34c63800, 0xaee00608, 0xaf400228,
+0xaf40022c, 0x96e30458, 0x8ee40000, 0x3c0512d8,
+0x34a5c358, 0x27623800, 0xaee27258, 0x27623800,
+0xaee27260, 0x27623800, 0xaee27264, 0x3661021,
+0xaee27270, 0x2402ffff, 0xaee004d4, 0xaee004e0,
+0xaee004e4, 0xaee004f0, 0xa2e004f4, 0xaee00e0c,
+0xaee00e18, 0xaee00e10, 0xaee00e14, 0xaee00e1c,
+0xaee0724c, 0xaee05244, 0xaee05240, 0xaee0523c,
+0xaee07250, 0xaee07254, 0xaee0725c, 0xaee07268,
+0xaee004d0, 0x2463ffff, 0x852025, 0xaee304f8,
+0xaee40000, 0xaf800060, 0xaf820064, 0x3c020100,
+0xafa20018, 0x8ee20608, 0x8f430228, 0x24420001,
+0x304900ff, 0x512300e2, 0xafa00010, 0x8ee20608,
+0x210c0, 0x571021, 0x8fa30018, 0x8fa4001c,
+0xac43060c, 0xac440610, 0x8f870120, 0x27623800,
+0x24e80020, 0x102102b, 0x50400001, 0x27683000,
+0x8f820128, 0x11020004, 0x0, 0x8f820124,
+0x15020007, 0x1021, 0x8ee201a4, 0x3021,
+0x24420001, 0xaee201a4, 0x8001422, 0x8ee201a4,
+0x8ee40608, 0x420c0, 0x801821, 0x8ee40430,
+0x8ee50434, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee30608,
+0x24020008, 0xa4e2000e, 0x2402000d, 0xace20018,
+0xace9001c, 0x318c0, 0x2463060c, 0x2e31021,
+0xace20008, 0x8ee204c4, 0xace20010, 0xaf880120,
+0x92e24e20, 0x14400037, 0x24060001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020007, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee54e30,
+0x24420001, 0x10430007, 0x0, 0x8ee24e34,
+0x24420001, 0x10a20005, 0x0, 0x800140c,
+0x0, 0x14a00005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8001422,
+0x0, 0x8ee24e30, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020007, 0xac820000, 0x24020001,
+0xac820004, 0x54c0000c, 0xaee90608, 0x3c040001,
+0x248449a8, 0xafa00010, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f000,
+0x80014a5, 0x0, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x3021,
+0x24420001, 0xaee201a4, 0x8001489, 0x8ee201a4,
+0x8ee20608, 0xac62001c, 0x8ee404a0, 0x8ee504a4,
+0x2462001c, 0xac620008, 0x24020008, 0xa462000e,
+0x24020011, 0xac620018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400037, 0x24060001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020012,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x8001473, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x8001489, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020012, 0xac820000, 0x24020001, 0xac820004,
+0x14c0001b, 0x0, 0x3c040001, 0x248449b0,
+0xafa00010, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f001, 0x8ee201b0,
+0x24420001, 0xaee201b0, 0x80014a5, 0x8ee201b0,
+0x3c040001, 0x248449bc, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f005,
+0x8ee201ac, 0x24420001, 0xaee201ac, 0x8ee201ac,
+0x8ee20154, 0x24420001, 0xaee20154, 0xc0014dc,
+0x8ee20154, 0x8f8200a0, 0x30420004, 0x1440fffd,
+0x0, 0x8f820040, 0x30420001, 0x14400008,
+0x0, 0x8f430104, 0x24020001, 0x10620004,
+0x0, 0x8f420264, 0x10400006, 0x0,
+0x8ee2017c, 0x24420001, 0xaee2017c, 0x80014c5,
+0x8ee2017c, 0x8f820044, 0x34420004, 0xaf820044,
+0x8ee20178, 0x24420001, 0xaee20178, 0x8ee20178,
+0x8f8200d8, 0x8f8300d4, 0x431023, 0xaee2726c,
+0x8ee2726c, 0x1c400003, 0x3c030001, 0x431021,
+0xaee2726c, 0xc004068, 0x0, 0xc004440,
+0xaf800228, 0x8fbf0024, 0x8fb00020, 0x3e00008,
+0x27bd0028, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x0, 0x0, 0x2402002c,
+0xaf820050, 0xaee07274, 0x8f420238, 0xaee27278,
+0x8f820054, 0x24420067, 0xaf820058, 0xaee07b88,
+0xaee07b8c, 0xaee07b84, 0x3c010001, 0x370821,
+0xac2083bc, 0x3c010001, 0x370821, 0x3e00008,
+0xa02083b9, 0x27bdffd8, 0xafbf0024, 0xafb00020,
+0x8f820054, 0x3c030001, 0x8c635498, 0x24420067,
+0x1060000d, 0xaf820058, 0x3c020001, 0x571021,
+0x904283b8, 0x10400005, 0x3c030200, 0x3c010001,
+0x370821, 0x8001503, 0xa02083b8, 0x8ee20000,
+0x431025, 0xaee20000, 0x8f420218, 0x30420100,
+0x104000c6, 0x0, 0x8f8200b0, 0x30420004,
+0x104000c2, 0x0, 0x3c030001, 0x771821,
+0x8c6383d0, 0x8f820104, 0x146200b4, 0x0,
+0x3c030001, 0x771821, 0x8c6383d4, 0x8f8200b4,
+0x146200ae, 0x0, 0x8f8200b0, 0x3c030080,
+0x431024, 0x1040000d, 0x0, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f8200b0, 0x2403fffb,
+0x431024, 0xaf8200b0, 0x8f82011c, 0x2403fffd,
+0x431024, 0x80015cc, 0xaf82011c, 0x3c030001,
+0x771821, 0x8c6383d0, 0x8f820104, 0x14620082,
+0x0, 0x3c030001, 0x771821, 0x8c6383d4,
+0x8f8200b4, 0x1462007c, 0x0, 0x3c070001,
+0xf73821, 0x8ce783d0, 0x8f8200b0, 0x3c040001,
+0x24844a50, 0xafa00014, 0xafa20010, 0x8f8600b0,
+0x3c050005, 0xc002407, 0x34a50900, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f830104, 0x8f8200b0,
+0x34420001, 0xaf8200b0, 0xaf830104, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20006, 0x0, 0x8ee201a4,
+0x24420001, 0xaee201a4, 0x80015a0, 0x8ee201a4,
+0x8f440208, 0x8f45020c, 0x26e20030, 0xac620008,
+0x24020400, 0xa462000e, 0x2402000f, 0xac620018,
+0xac60001c, 0xac640000, 0xac650004, 0x8ee204c4,
+0xac620010, 0xaf860120, 0x92e24e20, 0x14400037,
+0x0, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c830000, 0x24020007, 0x1462001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee54e30, 0x24420001, 0x10430007,
+0x0, 0x8ee24e34, 0x24420001, 0x10a20005,
+0x0, 0x800158a, 0x0, 0x14a00005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x80015a0, 0x0, 0x8ee24e30,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x24020007,
+0xac820000, 0x24020001, 0xac820004, 0x8f82011c,
+0x2403fffd, 0x431024, 0xaf82011c, 0x8ee201e4,
+0x3c070001, 0xf73821, 0x8ce783d0, 0x24420001,
+0xaee201e4, 0x8ee201e4, 0x3c040001, 0x24844a5c,
+0x80015bd, 0xafa00010, 0x8f820104, 0x3c010001,
+0x370821, 0xac2283d0, 0x8f8200b4, 0x3c070001,
+0xf73821, 0x8ce783d0, 0x3c040001, 0x24844a64,
+0x3c010001, 0x370821, 0xac2283d4, 0xafa00010,
+0xafa00014, 0x8f8600b0, 0x3c050005, 0xc002407,
+0x34a50900, 0x80015cc, 0x0, 0x8f820104,
+0x3c010001, 0x370821, 0xac2283d0, 0x8f8200b4,
+0x3c010001, 0x370821, 0xac2283d4, 0x8ee27274,
+0x92e304f4, 0x24420067, 0x14600006, 0xaee27274,
+0x8ee27274, 0x8f430234, 0x43102b, 0x1440007b,
+0x0, 0x8ee304e4, 0x8ee204f8, 0x14620004,
+0x0, 0x92e204f4, 0x50400074, 0xa2e004f4,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8021, 0x24420001, 0xaee201a4,
+0x8001637, 0x8ee201a4, 0x8ee204e4, 0xac62001c,
+0x8ee404b0, 0x8ee504b4, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400037, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020012, 0x1462001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee54e30, 0x24420001, 0x10430007, 0x0,
+0x8ee24e34, 0x24420001, 0x10a20005, 0x0,
+0x8001621, 0x0, 0x14a00005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x8001637, 0x0, 0x8ee24e30, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020012, 0xac820000,
+0x24020001, 0xac820004, 0x5600000b, 0x24100001,
+0x8ee204e4, 0x3c040001, 0x24844a6c, 0xafa00014,
+0xafa20010, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f006, 0x16000003, 0x24020001,
+0x8001650, 0xa2e204f4, 0x8ee20170, 0x24420001,
+0xaee20170, 0x8ee20170, 0x8ee204e4, 0xa2e004f4,
+0xaee004f0, 0xaee07274, 0xaee204f8, 0x8ee20e1c,
+0x1040006d, 0x0, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x8021,
+0x24420001, 0xaee201a4, 0x80016ad, 0x8ee201a4,
+0x8ee2724c, 0xac62001c, 0x8ee404a8, 0x8ee504ac,
+0x2462001c, 0xac620008, 0x24020008, 0xa462000e,
+0x24020011, 0xac620018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400037, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020012,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x8001697, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x80016ad, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020012, 0xac820000, 0x24020001, 0xac820004,
+0x5600000b, 0x24100001, 0x8ee2724c, 0x3c040001,
+0x24844a78, 0xafa00014, 0xafa20010, 0x8ee6724c,
+0x8f470280, 0x3c050009, 0xc002407, 0x34a5f008,
+0x56000001, 0xaee00e1c, 0x8ee20174, 0x24420001,
+0xaee20174, 0x8ee20174, 0x8ee24e24, 0x10400019,
+0x0, 0xaee04e24, 0x8f820040, 0x30420001,
+0x14400008, 0x0, 0x8f430104, 0x24020001,
+0x10620004, 0x0, 0x8f420264, 0x10400006,
+0x0, 0x8ee2017c, 0x24420001, 0xaee2017c,
+0x80016da, 0x8ee2017c, 0x8f820044, 0x34420004,
+0xaf820044, 0x8ee20178, 0x24420001, 0xaee20178,
+0x8ee20178, 0x8ee27278, 0x2442ff99, 0xaee27278,
+0x8ee27278, 0x1c4002ad, 0x0, 0x8f420238,
+0x104002aa, 0x0, 0x3c020001, 0x571021,
+0x904283e0, 0x144002a5, 0x0, 0x8f420080,
+0xaee2004c, 0x8f4200c0, 0xaee20048, 0x8f420084,
+0xaee20038, 0x8f420084, 0xaee20244, 0x8f420088,
+0xaee20248, 0x8f42008c, 0xaee2024c, 0x8f420090,
+0xaee20250, 0x8f420094, 0xaee20254, 0x8f420098,
+0xaee20258, 0x8f42009c, 0xaee2025c, 0x8f4200a0,
+0xaee20260, 0x8f4200a4, 0xaee20264, 0x8f4200a8,
+0xaee20268, 0x8f4200ac, 0xaee2026c, 0x8f4200b0,
+0xaee20270, 0x8f4200b4, 0xaee20274, 0x8f4200b8,
+0xaee20278, 0x8f4200bc, 0x24040001, 0xaee2027c,
+0xaee0003c, 0x41080, 0x571021, 0x8ee3003c,
+0x8c420244, 0x24840001, 0x621821, 0x2c82000f,
+0xaee3003c, 0x1440fff8, 0x41080, 0x8f4200cc,
+0xaee20050, 0x8f4200d0, 0xaee20054, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x8001775,
+0x8ee201a4, 0x8f440208, 0x8f45020c, 0x26e20030,
+0xac620008, 0x24020400, 0xa462000e, 0x2402000f,
+0xac620018, 0xac60001c, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400037, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020007,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x800175f, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x8001775, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020007, 0xac820000, 0x24020001, 0xac820004,
+0x12000212, 0x3c020400, 0xafa20018, 0x3c020001,
+0x571021, 0x904283b0, 0x1040010b, 0x0,
+0x8ee20608, 0x8f430228, 0x24420001, 0x304a00ff,
+0x514300fd, 0xafa00010, 0x8ee20608, 0x210c0,
+0x571021, 0x8fa30018, 0x8fa4001c, 0xac43060c,
+0xac440610, 0x8f830054, 0x8f820054, 0x24690032,
+0x1221023, 0x2c420033, 0x1040006a, 0x5821,
+0x24180008, 0x240f000d, 0x240d0007, 0x240c0040,
+0x240e0001, 0x8f870120, 0x27623800, 0x24e80020,
+0x102102b, 0x50400001, 0x27683000, 0x8f820128,
+0x11020004, 0x0, 0x8f820124, 0x15020007,
+0x1021, 0x8ee201a4, 0x8021, 0x24420001,
+0xaee201a4, 0x80017f3, 0x8ee201a4, 0x8ee40608,
+0x420c0, 0x801821, 0x8ee40430, 0x8ee50434,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xace40000, 0xace50004, 0x8ee20608, 0xa4f8000e,
+0xacef0018, 0xacea001c, 0x210c0, 0x2442060c,
+0x2e21021, 0xace20008, 0x8ee204c4, 0xace20010,
+0xaf880120, 0x92e24e20, 0x14400033, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x144d001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x104c0007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x80017e0,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x80017f3,
+0x0, 0x8ee24e30, 0x24420001, 0x504c0003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac8d0000, 0xac8e0004, 0x56000006, 0x240b0001,
+0x8f820054, 0x1221023, 0x2c420033, 0x1440ff9d,
+0x0, 0x316300ff, 0x24020001, 0x14620077,
+0x3c050009, 0xaeea0608, 0x8f830054, 0x8f820054,
+0x24690032, 0x1221023, 0x2c420033, 0x10400061,
+0x5821, 0x240d0008, 0x240c0011, 0x24080012,
+0x24070040, 0x240a0001, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x8021,
+0x24420001, 0xaee201a4, 0x800185f, 0x8ee201a4,
+0x8ee20608, 0xac62001c, 0x8ee404a0, 0x8ee504a4,
+0x2462001c, 0xac620008, 0xa46d000e, 0xac6c0018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400033, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x1448001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10470007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x800184c,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x800185f,
+0x0, 0x8ee24e30, 0x24420001, 0x50470003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac880000, 0xac8a0004, 0x56000006, 0x240b0001,
+0x8f820054, 0x1221023, 0x2c420033, 0x1440ffa6,
+0x0, 0x316300ff, 0x24020001, 0x14620003,
+0x3c050009, 0x800197c, 0x24100001, 0x3c040001,
+0x24844a84, 0xafa00010, 0xafa00014, 0x8f860120,
+0x8f870124, 0x800187b, 0x34a5f011, 0x3c040001,
+0x24844a90, 0xafa00010, 0xafa00014, 0x8f860120,
+0x8f870124, 0x34a5f010, 0xc002407, 0x8021,
+0x800197c, 0x0, 0x3c040001, 0x24844a9c,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0x8001975, 0x34a5f00f, 0x8ee20608, 0x8f430228,
+0x24420001, 0x304900ff, 0x512300e2, 0xafa00010,
+0x8ee20608, 0x210c0, 0x571021, 0x8fa30018,
+0x8fa4001c, 0xac43060c, 0xac440610, 0x8f870120,
+0x27623800, 0x24e80020, 0x102102b, 0x50400001,
+0x27683000, 0x8f820128, 0x11020004, 0x0,
+0x8f820124, 0x15020007, 0x1021, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x80018f7,
+0x8ee201a4, 0x8ee40608, 0x420c0, 0x801821,
+0x8ee40430, 0x8ee50434, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xace40000, 0xace50004,
+0x8ee30608, 0x24020008, 0xa4e2000e, 0x2402000d,
+0xace20018, 0xace9001c, 0x318c0, 0x2463060c,
+0x2e31021, 0xace20008, 0x8ee204c4, 0xace20010,
+0xaf880120, 0x92e24e20, 0x14400037, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020007, 0x1462001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee54e30, 0x24420001, 0x10430007, 0x0,
+0x8ee24e34, 0x24420001, 0x10a20005, 0x0,
+0x80018e1, 0x0, 0x14a00005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x80018f7, 0x0, 0x8ee24e30, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020007, 0xac820000,
+0x24020001, 0xac820004, 0x5600000c, 0xaee90608,
+0x3c040001, 0x24844aa8, 0xafa00010, 0xafa00014,
+0x8ee60608, 0x8f470228, 0x3c050009, 0xc002407,
+0x34a5f000, 0x800197c, 0x0, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x800195e,
+0x8ee201a4, 0x8ee20608, 0xac62001c, 0x8ee404a0,
+0x8ee504a4, 0x2462001c, 0xac620008, 0x24020008,
+0xa462000e, 0x24020011, 0xac620018, 0xac640000,
+0xac650004, 0x8ee204c4, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400037, 0x24100001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020012, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee54e30,
+0x24420001, 0x10430007, 0x0, 0x8ee24e34,
+0x24420001, 0x10a20005, 0x0, 0x8001948,
+0x0, 0x14a00005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x800195e,
+0x0, 0x8ee24e30, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020012, 0xac820000, 0x24020001,
+0xac820004, 0x5600001d, 0x24100001, 0x3c040001,
+0x24844ab0, 0xafa00010, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f001,
+0x8ee201b0, 0x24420001, 0xaee201b0, 0x800197c,
+0x8ee201b0, 0x3c040001, 0x24844abc, 0xafa00014,
+0x8ee60608, 0x8f470228, 0x3c050009, 0x34a5f005,
+0xc002407, 0x0, 0x8ee201ac, 0x8021,
+0x24420001, 0xaee201ac, 0x8ee201ac, 0x1200000c,
+0x24020001, 0x3c010001, 0x370821, 0xa02083b0,
+0x8f420238, 0x8ee30158, 0x24630001, 0xaee30158,
+0x8ee30158, 0x800198c, 0xaee27278, 0x24020001,
+0x3c010001, 0x370821, 0xa02283b0, 0x3c020001,
+0x8c425498, 0x10400187, 0x0, 0x8ee27b84,
+0x24430001, 0x284200c9, 0x144001a4, 0xaee37b84,
+0x8ee204d4, 0x30420002, 0x14400119, 0xaee07b84,
+0x8ee204d4, 0x3c030600, 0x34631000, 0x34420002,
+0xaee204d4, 0xafa30018, 0x8ee20608, 0x8f430228,
+0x24420001, 0x304a00ff, 0x514300fd, 0xafa00010,
+0x8ee20608, 0x210c0, 0x571021, 0x8fa30018,
+0x8fa4001c, 0xac43060c, 0xac440610, 0x8f830054,
+0x8f820054, 0x24690032, 0x1221023, 0x2c420033,
+0x1040006a, 0x5821, 0x24180008, 0x240f000d,
+0x240d0007, 0x240c0040, 0x240e0001, 0x8f870120,
+0x27623800, 0x24e80020, 0x102102b, 0x50400001,
+0x27683000, 0x8f820128, 0x11020004, 0x0,
+0x8f820124, 0x15020007, 0x1021, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x8001a15,
+0x8ee201a4, 0x8ee40608, 0x420c0, 0x801821,
+0x8ee40430, 0x8ee50434, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xace40000, 0xace50004,
+0x8ee20608, 0xa4f8000e, 0xacef0018, 0xacea001c,
+0x210c0, 0x2442060c, 0x2e21021, 0xace20008,
+0x8ee204c4, 0xace20010, 0xaf880120, 0x92e24e20,
+0x14400033, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x144d001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x104c0007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x8001a02, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400010,
+0xac800000, 0x8001a15, 0x0, 0x8ee24e30,
+0x24420001, 0x504c0003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0xac8d0000, 0xac8e0004,
+0x56000006, 0x240b0001, 0x8f820054, 0x1221023,
+0x2c420033, 0x1440ff9d, 0x0, 0x316300ff,
+0x24020001, 0x54620078, 0xafa00010, 0xaeea0608,
+0x8f830054, 0x8f820054, 0x24690032, 0x1221023,
+0x2c420033, 0x10400061, 0x5821, 0x240d0008,
+0x240c0011, 0x24080012, 0x24070040, 0x240a0001,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8021, 0x24420001, 0xaee201a4,
+0x8001a81, 0x8ee201a4, 0x8ee20608, 0xac62001c,
+0x8ee404a0, 0x8ee504a4, 0x2462001c, 0xac620008,
+0xa46d000e, 0xac6c0018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400033, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x1448001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x10470007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x8001a6e, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400010,
+0xac800000, 0x8001a81, 0x0, 0x8ee24e30,
+0x24420001, 0x50470003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0xac880000, 0xac8a0004,
+0x56000006, 0x240b0001, 0x8f820054, 0x1221023,
+0x2c420033, 0x1440ffa6, 0x0, 0x316300ff,
+0x24020001, 0x10620022, 0x0, 0x3c040001,
+0x24844a84, 0xafa00010, 0xafa00014, 0x8f860120,
+0x8f870124, 0x3c050009, 0xc002407, 0x34a5f011,
+0x8001aad, 0x0, 0x3c040001, 0x24844a90,
+0xafa00014, 0x8f860120, 0x8f870124, 0x3c050009,
+0xc002407, 0x34a5f010, 0x8001aad, 0x0,
+0x3c040001, 0x24844a9c, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f00f,
+0x8ee201ac, 0x24420001, 0xaee201ac, 0x8ee201ac,
+0x8ee2015c, 0x24420001, 0xaee2015c, 0x8ee2015c,
+0x8ee204d4, 0x30420001, 0x10400055, 0x0,
+0x8f420218, 0x30420080, 0x10400029, 0x0,
+0x8f820044, 0x34420040, 0xaf820044, 0x8ee27b7c,
+0x402821, 0x8ee200c0, 0x8ee300c4, 0x24060000,
+0x2407ffff, 0x2021, 0x461024, 0x1444000d,
+0x671824, 0x1465000b, 0x0, 0x8ee27b80,
+0x402821, 0x8ee200e0, 0x8ee300e4, 0x2021,
+0x461024, 0x14440003, 0x671824, 0x1065000b,
+0x0, 0x8ee200c0, 0x8ee300c4, 0x8ee400e0,
+0x8ee500e4, 0xaee37b7c, 0xaee57b80, 0x8f820044,
+0x38420020, 0x8001b38, 0xaf820044, 0x8f820044,
+0x2403ffdf, 0x431024, 0x8001b38, 0xaf820044,
+0x8f820044, 0x2403ffdf, 0x431024, 0xaf820044,
+0x8ee27b7c, 0x402821, 0x8ee200c0, 0x8ee300c4,
+0x24060000, 0x2407ffff, 0x2021, 0x461024,
+0x1444000d, 0x671824, 0x1465000b, 0x0,
+0x8ee27b80, 0x402821, 0x8ee200e0, 0x8ee300e4,
+0x2021, 0x461024, 0x14440003, 0x671824,
+0x1065000b, 0x0, 0x8ee200c0, 0x8ee300c4,
+0x8ee400e0, 0x8ee500e4, 0xaee37b7c, 0xaee57b80,
+0x8f820044, 0x38420040, 0x8001b38, 0xaf820044,
+0x8f820044, 0x34420040, 0x8001b38, 0xaf820044,
+0x8f820044, 0x34420040, 0xaf820044, 0x8ee27b8c,
+0x24430001, 0x28420015, 0x14400028, 0xaee37b8c,
+0x8f820044, 0x38420020, 0xaf820044, 0x8001b38,
+0xaee07b8c, 0x8ee204d4, 0x30420001, 0x10400011,
+0x0, 0x8f420218, 0x30420080, 0x10400009,
+0x0, 0x8f820044, 0x34420020, 0xaf820044,
+0x8f820044, 0x2403ffbf, 0x431024, 0x8001b36,
+0xaf820044, 0x8f820044, 0x34420060, 0x8001b36,
+0xaf820044, 0x8f820044, 0x34420040, 0xaf820044,
+0x8ee27b88, 0x24430001, 0x28421389, 0x14400005,
+0xaee37b88, 0x8f820044, 0x38420020, 0xaf820044,
+0xaee07b88, 0xc0045c1, 0x0, 0x8fbf0024,
+0x8fb00020, 0x3e00008, 0x27bd0028, 0x27bdffb8,
+0xafbf0044, 0xafb60040, 0xafb5003c, 0xafb40038,
+0xafb30034, 0xafb20030, 0xafb1002c, 0xafb00028,
+0x8f960064, 0x32c20004, 0x1040000c, 0x24020004,
+0xaf820064, 0x8f420114, 0xaee204e0, 0x8f820060,
+0x34420008, 0xaf820060, 0x8ee2016c, 0x24420001,
+0xaee2016c, 0x80022f4, 0x8ee2016c, 0x32c20001,
+0x10400004, 0x24020001, 0xaf820064, 0x80022f4,
+0x0, 0x32c20002, 0x1440000c, 0x3c050003,
+0x3c040001, 0x24844b34, 0x34a50001, 0x2c03021,
+0x3821, 0xafa00010, 0xc002407, 0xafa00014,
+0x2402fff8, 0x80022f4, 0xaf820064, 0x8f43022c,
+0x8f42010c, 0x5062000c, 0xafa00010, 0x8f42022c,
+0x21080, 0x5a1021, 0x8c420300, 0xafa20020,
+0x8f42022c, 0x24070001, 0x24420001, 0x3042003f,
+0x8001b80, 0xaf42022c, 0x3c040001, 0x24844b40,
+0xafa00014, 0x8f46022c, 0x8f47010c, 0x3c050003,
+0xc002407, 0x34a5f01f, 0x3821, 0x14e00003,
+0x0, 0x80022ed, 0xaf960064, 0x93a20020,
+0x2443ffff, 0x2c620011, 0x10400658, 0x31080,
+0x3c010001, 0x220821, 0x8c224bf8, 0x400008,
+0x0, 0x8fa20020, 0x30420fff, 0xaee20e0c,
+0x8f820060, 0x34420200, 0xaf820060, 0x8ee20118,
+0x24420001, 0xaee20118, 0x80022e8, 0x8ee20118,
+0x8fa20020, 0x24030001, 0x3c010001, 0x370821,
+0xa02383b1, 0x30420fff, 0xaee25238, 0x8f820060,
+0x34420100, 0xaf820060, 0x8ee20144, 0x24420001,
+0xaee20144, 0x80022e8, 0x8ee20144, 0x8fa20020,
+0x21200, 0x22502, 0x24020001, 0x10820005,
+0x24020002, 0x10820009, 0x2402fffe, 0x8001bc9,
+0xafa00010, 0x8ee204d4, 0xaee40070, 0xaee40074,
+0x34420001, 0x8001bbd, 0xaee204d4, 0x8ee304d4,
+0xaee40070, 0xaee40074, 0x621824, 0xaee304d4,
+0x8f840054, 0x41442, 0x41c82, 0x431021,
+0x41cc2, 0x431023, 0x41d02, 0x431021,
+0x41d42, 0x431023, 0x8001bd0, 0xaee20078,
+0x3c040001, 0x24844b4c, 0xafa00014, 0x8fa60020,
+0x3c050003, 0xc002407, 0x34a50004, 0x8ee20110,
+0x24420001, 0xaee20110, 0x80022e8, 0x8ee20110,
+0x27440212, 0xc0022fe, 0x24050006, 0x3049001f,
+0x920c0, 0x2e41021, 0x9442727c, 0x30424000,
+0x1040000a, 0x971021, 0x97430212, 0xa443727e,
+0x8f430214, 0x971021, 0xac437280, 0x2e41821,
+0x34028000, 0x8001c79, 0xa462727c, 0x9443727e,
+0x97420212, 0x14620006, 0x2e41021, 0x971021,
+0x8c437280, 0x8f420214, 0x1062009f, 0x2e41021,
+0x9442727c, 0x30428000, 0x1040002a, 0x2406ffff,
+0x2021, 0x410c0, 0x2e21021, 0x9442737c,
+0x30424000, 0x54400005, 0x803021, 0x24840001,
+0x2c820080, 0x1440fff8, 0x410c0, 0x4c10010,
+0x618c0, 0x610c0, 0x571821, 0x8c63737c,
+0x571021, 0xafa30010, 0x8c427380, 0x3c040001,
+0x24844b58, 0xafa20014, 0x8f470214, 0x3c050003,
+0xc002407, 0x34a50013, 0x8001c90, 0x3c020800,
+0x97440212, 0x771021, 0xa444737e, 0x8f440214,
+0x771021, 0x2e31821, 0xac447380, 0x34028000,
+0xa462737c, 0x910c0, 0x2e21021, 0x8001c79,
+0xa446727c, 0x2e41021, 0x9445727c, 0x8001c2e,
+0x510c0, 0x9443737e, 0x97420212, 0x14620006,
+0x510c0, 0x971021, 0x8c437380, 0x8f420214,
+0x10620065, 0x510c0, 0x2e21021, 0x9445737c,
+0x510c0, 0x2e21021, 0x9442737c, 0x30428000,
+0x1040fff0, 0x971021, 0x520c0, 0x971021,
+0x9443737e, 0x97420212, 0x14620006, 0x2406ffff,
+0x971021, 0x8c437380, 0x8f420214, 0x10620053,
+0x3c020800, 0x2021, 0x410c0, 0x2e21021,
+0x9442737c, 0x30424000, 0x54400005, 0x803021,
+0x24840001, 0x2c820080, 0x1440fff8, 0x410c0,
+0x4c10023, 0x618c0, 0x910c0, 0x571821,
+0x8c63727c, 0x571021, 0xafa30010, 0x8c427280,
+0x3c040001, 0x24844b64, 0xafa20014, 0x8f470214,
+0x3c050003, 0xc002407, 0x34a5f017, 0x8001c90,
+0x3c020800, 0x8f430210, 0xb71021, 0xac43777c,
+0x8f430214, 0xb71021, 0xac437780, 0x3c020001,
+0x571021, 0x8c4283b4, 0x24420001, 0x3c010001,
+0x370821, 0xac2283b4, 0x3c030001, 0x771821,
+0x8c6383b4, 0x2e51021, 0x8001c82, 0xa443777c,
+0x97440212, 0x771021, 0xa444737e, 0x8f440214,
+0x771021, 0x2e31821, 0xac447380, 0x34028000,
+0xa462737c, 0x510c0, 0x2e21021, 0xa446737c,
+0x2021, 0x428c0, 0x2e51021, 0x9442777c,
+0x1040ffdc, 0x24840001, 0x2c820080, 0x5440fffa,
+0x428c0, 0x92e204d8, 0x10400006, 0x24020001,
+0x8ee304dc, 0x1221004, 0x621825, 0x8001c8f,
+0xaee304dc, 0x8f830228, 0x24020001, 0x1221004,
+0x621825, 0xaf830228, 0x3c020800, 0x34421000,
+0xafa20018, 0x8ee20608, 0x8f430228, 0x24420001,
+0x304a00ff, 0x514300fd, 0xafa00010, 0x8ee20608,
+0x210c0, 0x571021, 0x8fa30018, 0x8fa4001c,
+0xac43060c, 0xac440610, 0x8f830054, 0x8f820054,
+0x24690032, 0x1221023, 0x2c420033, 0x1040006a,
+0x5821, 0x24100008, 0x240f000d, 0x240d0007,
+0x240c0040, 0x240e0001, 0x8f870120, 0x27623800,
+0x24e80020, 0x102102b, 0x50400001, 0x27683000,
+0x8f820128, 0x11020004, 0x0, 0x8f820124,
+0x15020007, 0x1021, 0x8ee201a4, 0x3821,
+0x24420001, 0xaee201a4, 0x8001d08, 0x8ee201a4,
+0x8ee40608, 0x420c0, 0x801821, 0x8ee40430,
+0x8ee50434, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee20608,
+0xa4f0000e, 0xacef0018, 0xacea001c, 0x210c0,
+0x2442060c, 0x2e21021, 0xace20008, 0x8ee204c4,
+0xace20010, 0xaf880120, 0x92e24e20, 0x14400033,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x144d001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x104c0007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8001cf5, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x8001d08, 0x0, 0x8ee24e30, 0x24420001,
+0x504c0003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac8d0000, 0xac8e0004, 0x54e00006,
+0x240b0001, 0x8f820054, 0x1221023, 0x2c420033,
+0x1440ff9d, 0x0, 0x316300ff, 0x24020001,
+0x54620078, 0xafa00010, 0xaeea0608, 0x8f830054,
+0x8f820054, 0x24690032, 0x1221023, 0x2c420033,
+0x10400061, 0x5821, 0x240e0008, 0x240d0011,
+0x240a0012, 0x24080040, 0x240c0001, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x3821, 0x24420001, 0xaee201a4, 0x8001d74,
+0x8ee201a4, 0x8ee20608, 0xac62001c, 0x8ee404a0,
+0x8ee504a4, 0x2462001c, 0xac620008, 0xa46e000e,
+0xac6d0018, 0xac640000, 0xac650004, 0x8ee204c4,
+0xac620010, 0xaf860120, 0x92e24e20, 0x14400033,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x144a001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x10480007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8001d61, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x8001d74, 0x0, 0x8ee24e30, 0x24420001,
+0x50480003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac8a0000, 0xac8c0004, 0x54e00006,
+0x240b0001, 0x8f820054, 0x1221023, 0x2c420033,
+0x1440ffa6, 0x0, 0x316300ff, 0x24020001,
+0x10620022, 0x0, 0x3c040001, 0x24844b70,
+0xafa00010, 0xafa00014, 0x8f860120, 0x8f870124,
+0x3c050009, 0xc002407, 0x34a5f011, 0x8001da0,
+0x0, 0x3c040001, 0x24844b7c, 0xafa00014,
+0x8f860120, 0x8f870124, 0x3c050009, 0xc002407,
+0x34a5f010, 0x8001da0, 0x0, 0x3c040001,
+0x24844b88, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f00f, 0x8ee201ac,
+0x24420001, 0xaee201ac, 0x8ee201ac, 0x8ee20124,
+0x24420001, 0xaee20124, 0x8001f97, 0x8ee20124,
+0x27440212, 0xc0022fe, 0x24050006, 0x3049001f,
+0x928c0, 0x2e51021, 0x9442727c, 0x30428000,
+0x1040002f, 0x2e51021, 0x9442727c, 0x30424000,
+0x1440001c, 0xb71021, 0x9443727e, 0x97420212,
+0x14620018, 0xb71021, 0x8c437280, 0x8f420214,
+0x54620016, 0xafa20010, 0x92e204d8, 0x10400007,
+0x24020001, 0x8ee304dc, 0x1221004, 0x21027,
+0x621824, 0x8001dc9, 0xaee304dc, 0x8f830228,
+0x1221004, 0x21027, 0x621824, 0xaf830228,
+0x910c0, 0x2e21821, 0x3402c000, 0x8001e4e,
+0xa462727c, 0x8f420214, 0xafa20010, 0x910c0,
+0x571021, 0x8c42727c, 0x3c040001, 0x24844b94,
+0x3c050003, 0xafa20014, 0x8f470210, 0x34a5f01c,
+0xc002407, 0x1203021, 0x8001e83, 0x3c020800,
+0xb71021, 0x9443727e, 0x97420212, 0x14620019,
+0x918c0, 0xb71021, 0x8c437280, 0x8f420214,
+0x14620014, 0x918c0, 0x2e51021, 0x9447727c,
+0x720c0, 0x971021, 0x9443737e, 0xb71021,
+0xa443727e, 0x971021, 0x8c437380, 0xb71021,
+0xac437280, 0x2e41021, 0x9443737c, 0x2e51021,
+0xa443727c, 0x2e41821, 0x3402c000, 0x8001e4e,
+0xa462737c, 0x2e31021, 0x9447727c, 0x3021,
+0x720c0, 0x2e41021, 0x9442737c, 0x4021,
+0x30428000, 0x14400025, 0xe02821, 0x605021,
+0x340bc000, 0x971021, 0x9443737e, 0x97420212,
+0x54620015, 0xe02821, 0x971021, 0x8c437380,
+0x8f420214, 0x54620010, 0xe02821, 0x11000006,
+0x2e41021, 0x9443737c, 0x510c0, 0x2e21021,
+0x8001e1a, 0xa443737c, 0x9443737c, 0x2ea1021,
+0xa443727c, 0x710c0, 0x2e21021, 0xa44b737c,
+0x8001e28, 0x24060001, 0x510c0, 0x2e21021,
+0x9447737c, 0x720c0, 0x2e41021, 0x9442737c,
+0x30428000, 0x1040ffdf, 0x25080001, 0x30c200ff,
+0x14400025, 0x2021, 0x720c0, 0x971021,
+0x9443737e, 0x97420212, 0x1462000f, 0x910c0,
+0x971021, 0x8c437380, 0x8f420214, 0x1462000a,
+0x910c0, 0x2e41821, 0x3402c000, 0x15000015,
+0xa462737c, 0x910c0, 0x2e21821, 0x34028000,
+0x8001e4e, 0xa462727c, 0x571021, 0x8c42727c,
+0x3c040001, 0x24844ba0, 0x3c050003, 0xafa20010,
+0x710c0, 0x571021, 0x8c42737c, 0x34a5001e,
+0x1203021, 0xc002407, 0xafa20014, 0x8001e83,
+0x3c020800, 0x2021, 0x428c0, 0xb71021,
+0x9443777e, 0x97420212, 0x5462002b, 0x24840001,
+0xb71021, 0x8c437780, 0x8f420214, 0x54620026,
+0x24840001, 0x3c020001, 0x571021, 0x8c4283b4,
+0x2442ffff, 0x3c010001, 0x370821, 0xac2283b4,
+0x3c020001, 0x571021, 0x8c4283b4, 0x809021,
+0x242102b, 0x1040000e, 0x24b1777c, 0x24b07784,
+0x2f02021, 0x2f12821, 0xc002494, 0x24060008,
+0x26310008, 0x3c020001, 0x571021, 0x8c4283b4,
+0x26520001, 0x242102b, 0x1440fff5, 0x26100008,
+0x3c040001, 0x972021, 0x8c8483b4, 0x24050008,
+0x420c0, 0x2484777c, 0xc00248c, 0x2e42021,
+0x8001e83, 0x3c020800, 0x2c820080, 0x1440ffcf,
+0x428c0, 0x3c020800, 0x34422000, 0xafa20018,
+0x8ee20608, 0x8f430228, 0x24420001, 0x304a00ff,
+0x514300fd, 0xafa00010, 0x8ee20608, 0x210c0,
+0x571021, 0x8fa30018, 0x8fa4001c, 0xac43060c,
+0xac440610, 0x8f830054, 0x8f820054, 0x24690032,
+0x1221023, 0x2c420033, 0x1040006a, 0x5821,
+0x24100008, 0x240f000d, 0x240d0007, 0x240c0040,
+0x240e0001, 0x8f870120, 0x27623800, 0x24e80020,
+0x102102b, 0x50400001, 0x27683000, 0x8f820128,
+0x11020004, 0x0, 0x8f820124, 0x15020007,
+0x1021, 0x8ee201a4, 0x3821, 0x24420001,
+0xaee201a4, 0x8001efb, 0x8ee201a4, 0x8ee40608,
+0x420c0, 0x801821, 0x8ee40430, 0x8ee50434,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xace40000, 0xace50004, 0x8ee20608, 0xa4f0000e,
+0xacef0018, 0xacea001c, 0x210c0, 0x2442060c,
+0x2e21021, 0xace20008, 0x8ee204c4, 0xace20010,
+0xaf880120, 0x92e24e20, 0x14400033, 0x24070001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x144d001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x104c0007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8001ee8,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x8001efb,
+0x0, 0x8ee24e30, 0x24420001, 0x504c0003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac8d0000, 0xac8e0004, 0x54e00006, 0x240b0001,
+0x8f820054, 0x1221023, 0x2c420033, 0x1440ff9d,
+0x0, 0x316300ff, 0x24020001, 0x54620078,
+0xafa00010, 0xaeea0608, 0x8f830054, 0x8f820054,
+0x24690032, 0x1221023, 0x2c420033, 0x10400061,
+0x5821, 0x240e0008, 0x240d0011, 0x240a0012,
+0x24080040, 0x240c0001, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x3821,
+0x24420001, 0xaee201a4, 0x8001f67, 0x8ee201a4,
+0x8ee20608, 0xac62001c, 0x8ee404a0, 0x8ee504a4,
+0x2462001c, 0xac620008, 0xa46e000e, 0xac6d0018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400033, 0x24070001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x144a001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10480007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8001f54,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x8001f67,
+0x0, 0x8ee24e30, 0x24420001, 0x50480003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac8a0000, 0xac8c0004, 0x54e00006, 0x240b0001,
+0x8f820054, 0x1221023, 0x2c420033, 0x1440ffa6,
+0x0, 0x316300ff, 0x24020001, 0x10620022,
+0x0, 0x3c040001, 0x24844b70, 0xafa00010,
+0xafa00014, 0x8f860120, 0x8f870124, 0x3c050009,
+0xc002407, 0x34a5f011, 0x8001f93, 0x0,
+0x3c040001, 0x24844b7c, 0xafa00014, 0x8f860120,
+0x8f870124, 0x3c050009, 0xc002407, 0x34a5f010,
+0x8001f93, 0x0, 0x3c040001, 0x24844b88,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f00f, 0x8ee201ac, 0x24420001,
+0xaee201ac, 0x8ee201ac, 0x8ee20128, 0x24420001,
+0xaee20128, 0x8ee20128, 0x8ee20164, 0x24420001,
+0xaee20164, 0x80022e8, 0x8ee20164, 0x8fa20020,
+0x21200, 0x21d02, 0x24020001, 0x10620005,
+0x24020002, 0x1062000d, 0x0, 0x8001fb7,
+0xafa00010, 0x92e204d8, 0x14400006, 0x24020001,
+0x8f820228, 0xaee204dc, 0x2402ffff, 0xaf820228,
+0x24020001, 0x8001fbe, 0xa2e204d8, 0x92e204d8,
+0x5040000c, 0xa2e004d8, 0x8ee204dc, 0xaf820228,
+0x8001fbe, 0xa2e004d8, 0x3c040001, 0x24844ba8,
+0xafa00014, 0x8fa60020, 0x3c050003, 0xc002407,
+0x34a5f009, 0x8ee2013c, 0x24420001, 0xaee2013c,
+0x80022e8, 0x8ee2013c, 0x8fa20020, 0x21200,
+0x22502, 0x24020001, 0x10820005, 0x24020002,
+0x1082000f, 0x0, 0x8001fe3, 0xafa00010,
+0x8f820220, 0x3c0308ff, 0x3463ffff, 0x431024,
+0x34420008, 0xaf820220, 0x24020001, 0x3c010001,
+0x370821, 0xa02283b2, 0x8001fea, 0xaee40108,
+0x8f820220, 0x3c0308ff, 0x3463fff7, 0x431024,
+0xaf820220, 0x3c010001, 0x370821, 0xa02083b2,
+0x8001fea, 0xaee40108, 0x3c040001, 0x24844bb4,
+0xafa00014, 0x8fa60020, 0x3c050003, 0xc002407,
+0x34a5f00a, 0x8ee2012c, 0x24420001, 0xaee2012c,
+0x80022e8, 0x8ee2012c, 0x8fa20020, 0x21200,
+0x21d02, 0x24020001, 0x10620005, 0x24020002,
+0x1062000e, 0x0, 0x8002011, 0xafa00010,
+0x8f820220, 0x3c0308ff, 0x3463ffff, 0x431024,
+0x34420008, 0xaf820220, 0x24020001, 0x3c010001,
+0x370821, 0x8002018, 0xa02283b3, 0x3c020001,
+0x571021, 0x904283b2, 0x3c010001, 0x370821,
+0x1440000e, 0xa02083b3, 0x8f820220, 0x3c0308ff,
+0x3463fff7, 0x431024, 0x8002018, 0xaf820220,
+0x3c040001, 0x24844bc0, 0xafa00014, 0x8fa60020,
+0x3c050003, 0xc002407, 0x34a5f00b, 0x8ee20114,
+0x24420001, 0xaee20114, 0x80022e8, 0x8ee20114,
+0x27840208, 0x27450200, 0xc00249e, 0x24060008,
+0x26e40094, 0x27450200, 0xc00249e, 0x24060008,
+0x8ee20134, 0x24420001, 0xaee20134, 0x80022e8,
+0x8ee20134, 0x8f460248, 0x2021, 0xc004fa8,
+0x24050004, 0x8ee20130, 0x24420001, 0xaee20130,
+0x80022e8, 0x8ee20130, 0x8ef301cc, 0x8ef401d0,
+0x8ef501d8, 0x8ee20140, 0x26e40030, 0x24420001,
+0xaee20140, 0x8ef00140, 0x8ef10074, 0x8ef20070,
+0xc00248c, 0x24050400, 0xaef301cc, 0xaef401d0,
+0xaef501d8, 0xaef00140, 0xaef10074, 0xaef20070,
+0x8f42025c, 0x26e40094, 0xaee20060, 0x8f420260,
+0x27450200, 0x24060008, 0xaee20068, 0x24020006,
+0xc00249e, 0xaee20064, 0x3c023b9a, 0x3442ca00,
+0xaee2006c, 0x240203e8, 0x24040002, 0x24030001,
+0xaee20104, 0xaee40100, 0xaee3010c, 0x8f820220,
+0x30420008, 0x10400004, 0x0, 0xaee30108,
+0x8002061, 0x2021, 0xaee40108, 0x2021,
+0x3c030001, 0x641821, 0x906353f0, 0x2e41021,
+0x24840001, 0xa043009c, 0x2c82000f, 0x1440fff8,
+0x0, 0x8f820040, 0x2e41821, 0x24840001,
+0x21702, 0x24420030, 0xa062009c, 0x2e41021,
+0x80022e8, 0xa040009c, 0x24020001, 0x3c010001,
+0x370821, 0xa02283e0, 0x240b0400, 0x24080014,
+0x240a0040, 0x24090001, 0x8f830100, 0x27623000,
+0x24660020, 0xc2102b, 0x50400001, 0x27662800,
+0x8f820108, 0x10c20004, 0x0, 0x8f820104,
+0x14c20007, 0x26e20030, 0x8ee201a8, 0x3821,
+0x24420001, 0xaee201a8, 0x80020a8, 0x8ee201a8,
+0x8ee404b8, 0x8ee504bc, 0xac620008, 0xa46b000e,
+0xac680018, 0xac60001c, 0xac640000, 0xac650004,
+0x8ee204cc, 0xac620010, 0xaf860100, 0x92e204ec,
+0x1440000e, 0x24070001, 0x8ee24e28, 0x24420001,
+0x504a0003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e21021, 0xac480000, 0xac490004, 0x10e0ffd2,
+0x0, 0x80022e8, 0x0, 0x3c020900,
+0xaee05238, 0xaee0523c, 0xaee05240, 0xaee05244,
+0xaee001d0, 0x3c010001, 0x370821, 0xa02083b1,
+0xafa20018, 0x8ee20608, 0x8f430228, 0x24420001,
+0x304a00ff, 0x514300fd, 0xafa00010, 0x8ee20608,
+0x210c0, 0x571021, 0x8fa30018, 0x8fa4001c,
+0xac43060c, 0xac440610, 0x8f830054, 0x8f820054,
+0x24690032, 0x1221023, 0x2c420033, 0x1040006a,
+0x5821, 0x24100008, 0x240f000d, 0x240d0007,
+0x240c0040, 0x240e0001, 0x8f870120, 0x27623800,
+0x24e80020, 0x102102b, 0x50400001, 0x27683000,
+0x8f820128, 0x11020004, 0x0, 0x8f820124,
+0x15020007, 0x1021, 0x8ee201a4, 0x3821,
+0x24420001, 0xaee201a4, 0x800212c, 0x8ee201a4,
+0x8ee40608, 0x420c0, 0x801821, 0x8ee40430,
+0x8ee50434, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee20608,
+0xa4f0000e, 0xacef0018, 0xacea001c, 0x210c0,
+0x2442060c, 0x2e21021, 0xace20008, 0x8ee204c4,
+0xace20010, 0xaf880120, 0x92e24e20, 0x14400033,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x144d001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x104c0007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8002119, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x800212c, 0x0, 0x8ee24e30, 0x24420001,
+0x504c0003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac8d0000, 0xac8e0004, 0x54e00006,
+0x240b0001, 0x8f820054, 0x1221023, 0x2c420033,
+0x1440ff9d, 0x0, 0x316300ff, 0x24020001,
+0x54620078, 0xafa00010, 0xaeea0608, 0x8f830054,
+0x8f820054, 0x24690032, 0x1221023, 0x2c420033,
+0x10400061, 0x5821, 0x240e0008, 0x240d0011,
+0x240a0012, 0x24080040, 0x240c0001, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x3821, 0x24420001, 0xaee201a4, 0x8002198,
+0x8ee201a4, 0x8ee20608, 0xac62001c, 0x8ee404a0,
+0x8ee504a4, 0x2462001c, 0xac620008, 0xa46e000e,
+0xac6d0018, 0xac640000, 0xac650004, 0x8ee204c4,
+0xac620010, 0xaf860120, 0x92e24e20, 0x14400033,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x144a001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x10480007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8002185, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x8002198, 0x0, 0x8ee24e30, 0x24420001,
+0x50480003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac8a0000, 0xac8c0004, 0x54e00006,
+0x240b0001, 0x8f820054, 0x1221023, 0x2c420033,
+0x1440ffa6, 0x0, 0x316300ff, 0x24020001,
+0x10620022, 0x0, 0x3c040001, 0x24844b70,
+0xafa00010, 0xafa00014, 0x8f860120, 0x8f870124,
+0x3c050009, 0xc002407, 0x34a5f011, 0x80021c4,
+0x0, 0x3c040001, 0x24844b7c, 0xafa00014,
+0x8f860120, 0x8f870124, 0x3c050009, 0xc002407,
+0x34a5f010, 0x80021c4, 0x0, 0x3c040001,
+0x24844b88, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f00f, 0x8ee201ac,
+0x24420001, 0xaee201ac, 0x8ee201ac, 0x8ee20120,
+0x24420001, 0xaee20120, 0x8ee20120, 0x8ee20168,
+0x24420001, 0xaee20168, 0x80022e8, 0x8ee20168,
+0x8f42025c, 0x26e40094, 0xaee20060, 0x8f420260,
+0x27450200, 0x24060008, 0xc00249e, 0xaee20068,
+0x8f820220, 0x30420008, 0x14400002, 0x24020001,
+0x24020002, 0xaee20108, 0x8ee2011c, 0x24420001,
+0xaee2011c, 0x80022e8, 0x8ee2011c, 0x3c040001,
+0x24844bcc, 0xafa00010, 0xafa00014, 0x8fa60020,
+0x3c050003, 0xc002407, 0x34a5f00f, 0x93a20020,
+0x3c030700, 0x34631000, 0x431025, 0xafa20018,
+0x8ee20608, 0x8f430228, 0x24420001, 0x304900ff,
+0x512300e2, 0xafa00010, 0x8ee20608, 0x210c0,
+0x571021, 0x8fa30018, 0x8fa4001c, 0xac43060c,
+0xac440610, 0x8f870120, 0x27623800, 0x24e80020,
+0x102102b, 0x50400001, 0x27683000, 0x8f820128,
+0x11020004, 0x0, 0x8f820124, 0x15020007,
+0x1021, 0x8ee201a4, 0x3821, 0x24420001,
+0xaee201a4, 0x800225d, 0x8ee201a4, 0x8ee40608,
+0x420c0, 0x801821, 0x8ee40430, 0x8ee50434,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xace40000, 0xace50004, 0x8ee30608, 0x24020008,
+0xa4e2000e, 0x2402000d, 0xace20018, 0xace9001c,
+0x318c0, 0x2463060c, 0x2e31021, 0xace20008,
+0x8ee204c4, 0xace20010, 0xaf880120, 0x92e24e20,
+0x14400037, 0x24070001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020007,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x8002247, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x800225d, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020007, 0xac820000, 0x24020001, 0xac820004,
+0x54e0000c, 0xaee90608, 0x3c040001, 0x24844bd4,
+0xafa00010, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f000, 0x80022e0,
+0x0, 0x8f830120, 0x27623800, 0x24660020,
+0xc2102b, 0x50400001, 0x27663000, 0x8f820128,
+0x10c20004, 0x0, 0x8f820124, 0x14c20007,
+0x0, 0x8ee201a4, 0x3821, 0x24420001,
+0xaee201a4, 0x80022c4, 0x8ee201a4, 0x8ee20608,
+0xac62001c, 0x8ee404a0, 0x8ee504a4, 0x2462001c,
+0xac620008, 0x24020008, 0xa462000e, 0x24020011,
+0xac620018, 0xac640000, 0xac650004, 0x8ee204c4,
+0xac620010, 0xaf860120, 0x92e24e20, 0x14400037,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c830000, 0x24020012, 0x1462001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee54e30, 0x24420001, 0x10430007,
+0x0, 0x8ee24e34, 0x24420001, 0x10a20005,
+0x0, 0x80022ae, 0x0, 0x14a00005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x80022c4, 0x0, 0x8ee24e30,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x24020012,
+0xac820000, 0x24020001, 0xac820004, 0x14e0001b,
+0x0, 0x3c040001, 0x24844bdc, 0xafa00010,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f001, 0x8ee201b0, 0x24420001,
+0xaee201b0, 0x80022e0, 0x8ee201b0, 0x3c040001,
+0x24844be8, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f005, 0x8ee201ac,
+0x24420001, 0xaee201ac, 0x8ee201ac, 0x8ee20150,
+0x24420001, 0xaee20150, 0x8ee20150, 0x8ee20160,
+0x24420001, 0xaee20160, 0x8ee20160, 0x8f43022c,
+0x8f42010c, 0x14620009, 0x24020002, 0xaf820064,
+0x8f820064, 0x14400005, 0x0, 0x8f43022c,
+0x8f42010c, 0x1462f875, 0x0, 0x8fbf0044,
+0x8fb60040, 0x8fb5003c, 0x8fb40038, 0x8fb30034,
+0x8fb20030, 0x8fb1002c, 0x8fb00028, 0x3e00008,
+0x27bd0048, 0x27bdfff8, 0x2408ffff, 0x10a00014,
+0x4821, 0x3c0aedb8, 0x354a8320, 0x90870000,
+0x24840001, 0x3021, 0x1071026, 0x30420001,
+0x10400002, 0x81842, 0x6a1826, 0x604021,
+0x24c60001, 0x2cc20008, 0x1440fff7, 0x73842,
+0x25290001, 0x125102b, 0x1440fff0, 0x0,
+0x1001021, 0x3e00008, 0x27bd0008, 0x27bdffe8,
+0x27642800, 0xafbf0010, 0xc00248c, 0x24051000,
+0x24020021, 0xaf800100, 0xaf800104, 0xaf800108,
+0xaf800110, 0xaf800114, 0xaf800118, 0xaf800120,
+0xaf800124, 0xaf800128, 0xaf800130, 0xaf800134,
+0xaf800138, 0xaee04e28, 0xaee04e2c, 0xaee04e30,
+0xaee04e34, 0xaf82011c, 0x8f420218, 0x30420040,
+0x10400004, 0x0, 0x8f82011c, 0x34420004,
+0xaf82011c, 0x8fbf0010, 0x3e00008, 0x27bd0018,
+0x27bdffe0, 0xafbf0018, 0x8f820104, 0xafa20010,
+0x8f820100, 0x3c050002, 0xafa20014, 0x8f8600b0,
+0x8f87011c, 0x3c040001, 0x24844ca0, 0xc002407,
+0x34a5f000, 0x8f8300b0, 0x3c027f00, 0x621824,
+0x3c020400, 0x1062002b, 0x43102b, 0x14400008,
+0x3c022000, 0x3c020100, 0x10620026, 0x3c020200,
+0x10620013, 0x0, 0x8002376, 0x0,
+0x1062000a, 0x43102b, 0x1040001e, 0x3c024000,
+0x1462001c, 0x0, 0x8ee20190, 0x24420001,
+0xaee20190, 0x8002376, 0x8ee20190, 0x8ee2018c,
+0x24420001, 0xaee2018c, 0x8002376, 0x8ee2018c,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f830104,
+0x8f8200b0, 0x34420001, 0xaf8200b0, 0xaf830104,
+0x8f82011c, 0x2403fffd, 0x431024, 0xaf82011c,
+0x8ee201a0, 0x24420001, 0xaee201a0, 0x8002379,
+0x8ee201a0, 0x8f8200b0, 0x34420001, 0xaf8200b0,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x27bdffe0,
+0xafbf001c, 0xafb00018, 0x8f820120, 0xafa20010,
+0x8f820124, 0x3c050001, 0xafa20014, 0x8f8600a0,
+0x8f87011c, 0x3c040001, 0x24844cac, 0xc002407,
+0x34a5f000, 0x8f8300a0, 0x3c027f00, 0x621824,
+0x3c020400, 0x10620055, 0x8021, 0x43102b,
+0x14400008, 0x3c042000, 0x3c020100, 0x1062004f,
+0x3c020200, 0x1062003c, 0x0, 0x80023e4,
+0x0, 0x10640005, 0x83102b, 0x10400047,
+0x3c024000, 0x14620045, 0x0, 0x8f8200a0,
+0x441024, 0x10400006, 0x0, 0x8ee20194,
+0x24420001, 0xaee20194, 0x80023ad, 0x8ee20194,
+0x8ee20198, 0x24420001, 0xaee20198, 0x8ee20198,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f82011c,
+0x30420200, 0x1040001b, 0x0, 0x8f8300a0,
+0x8f840124, 0x8f8200ac, 0x14400007, 0x24020001,
+0x3c020001, 0x3442f000, 0x621024, 0x50400001,
+0x24100001, 0x24020001, 0x1200000d, 0xaf8200a0,
+0x8f820124, 0x2442ffe0, 0xaf820124, 0x8f820124,
+0x8f820124, 0x27633000, 0x43102b, 0x10400005,
+0x276237e0, 0xaf820124, 0x80023ce, 0x0,
+0xaf840124, 0x8f82011c, 0x2403fffd, 0x431024,
+0x80023e7, 0xaf82011c, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f830124, 0x8f8200a0, 0x34420001,
+0xaf8200a0, 0xaf830124, 0x8f82011c, 0x2403fffd,
+0x431024, 0xaf82011c, 0x8ee2019c, 0x24420001,
+0xaee2019c, 0x80023e7, 0x8ee2019c, 0x8f8200a0,
+0x34420001, 0xaf8200a0, 0x8fbf001c, 0x8fb00018,
+0x3e00008, 0x27bd0020, 0x0, 0x3c020001,
+0x8c425418, 0x27bdffe8, 0xafbf0014, 0x14400012,
+0xafb00010, 0x3c100001, 0x26105560, 0x2002021,
+0xc00248c, 0x24052000, 0x26021fe0, 0x3c010001,
+0xac225534, 0x3c010001, 0xac225530, 0xaf420250,
+0x24022000, 0xaf500254, 0xaf420258, 0x24020001,
+0x3c010001, 0xac225418, 0x8fbf0014, 0x8fb00010,
+0x3e00008, 0x27bd0018, 0x3c030001, 0x8c635534,
+0x8c820000, 0x8fa80010, 0x8fa90014, 0xac620000,
+0x3c020001, 0x8c425534, 0x8c830004, 0xac430004,
+0xac450008, 0x8f840054, 0x2443ffe0, 0xac460010,
+0xac470014, 0xac480018, 0xac49001c, 0x3c010001,
+0xac235534, 0xac44000c, 0x3c020001, 0x24425560,
+0x62182b, 0x10600005, 0x0, 0x3c020001,
+0x8c425530, 0x3c010001, 0xac225534, 0x3c030001,
+0x8c635534, 0x3c020001, 0x8c425400, 0xac620000,
+0x3c030001, 0x8c635534, 0x3c020001, 0x8c425400,
+0xac620004, 0x3e00008, 0xaf430250, 0x3c030001,
+0x8c635534, 0x3c020001, 0x8c425400, 0x27bdffd0,
+0xafb40020, 0x8fb40040, 0xafb00010, 0x808021,
+0xafb50024, 0x8fb50044, 0x8fa40048, 0xafb10014,
+0xa08821, 0xafbf0028, 0xafb3001c, 0xafb20018,
+0xac620000, 0x3c050001, 0x8ca55534, 0x3c020001,
+0x8c425400, 0xc09021, 0xe09821, 0x10800006,
+0xaca20004, 0x24a50008, 0xc002494, 0x24060018,
+0x8002452, 0x0, 0x24a40008, 0xc00248c,
+0x24050018, 0x3c020001, 0x8c425534, 0x3c050001,
+0x24a55560, 0x2442ffe0, 0x3c010001, 0xac225534,
+0x45102b, 0x10400005, 0x0, 0x3c020001,
+0x8c425530, 0x3c010001, 0xac225534, 0x3c030001,
+0x8c635534, 0x8e020000, 0xac620000, 0x3c030001,
+0x8c635534, 0x8e020004, 0xac620004, 0xac710008,
+0x8f840054, 0x2462ffe0, 0x3c010001, 0xac225534,
+0x45102b, 0xac720010, 0xac730014, 0xac740018,
+0xac75001c, 0x10400005, 0xac64000c, 0x3c020001,
+0x8c425530, 0x3c010001, 0xac225534, 0x3c030001,
+0x8c635534, 0x3c020001, 0x8c425400, 0xac620000,
+0x3c030001, 0x8c635534, 0x3c020001, 0x8c425400,
+0xac620004, 0xaf430250, 0x8fbf0028, 0x8fb50024,
+0x8fb40020, 0x8fb3001c, 0x8fb20018, 0x8fb10014,
+0x8fb00010, 0x3e00008, 0x27bd0030, 0x10a00005,
+0x0, 0xac800000, 0x24a5fffc, 0x14a0fffd,
+0x24840004, 0x3e00008, 0x0, 0x10c00007,
+0x0, 0x8c820000, 0x24840004, 0x24c6fffc,
+0xaca20000, 0x14c0fffb, 0x24a50004, 0x3e00008,
+0x0, 0x10c00007, 0x0, 0x8ca20000,
+0x24a50004, 0x24c6fffc, 0xac820000, 0x14c0fffb,
+0x24840004, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x27bdffd8, 0xafbf0020, 0x8ee304e4,
+0x8ee204e0, 0x10620436, 0x0, 0x8ee204e4,
+0x8ee304fc, 0x21100, 0x626021, 0x95870008,
+0x8d8a0000, 0x8d8b0004, 0x958d000a, 0x8ee2725c,
+0x8ee3726c, 0x30e4ffff, 0x441021, 0x62182b,
+0x10600015, 0x31a20004, 0x8f8200d8, 0x8ee37258,
+0x431023, 0xaee2726c, 0x8ee2726c, 0x1c400003,
+0x3c030001, 0x431021, 0xaee2726c, 0x8ee2725c,
+0x8ee3726c, 0x441021, 0x62182b, 0x10600006,
+0x31a20004, 0x8ee201b8, 0x24420001, 0xaee201b8,
+0x80028e5, 0x8ee201b8, 0x10400240, 0x31a20200,
+0x1040014d, 0x4821, 0x96e2045a, 0x30420010,
+0x10400149, 0x0, 0x8f840100, 0x27623000,
+0x24850020, 0xa2102b, 0x50400001, 0x27652800,
+0x8f820108, 0x10a20004, 0x0, 0x8f820104,
+0x14a20006, 0x2402000c, 0x8ee201a8, 0x24420001,
+0xaee201a8, 0x8002530, 0x8ee201a8, 0xac8a0000,
+0xac8b0004, 0x8ee37264, 0x24060005, 0xa482000e,
+0xac860018, 0xac830008, 0x8ee204e4, 0xac82001c,
+0x8ee204c8, 0xac820010, 0xaf850100, 0x92e204ec,
+0x14400036, 0x24090001, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x8c820000, 0x1446001f,
+0x0, 0x8ee34e28, 0x8ee24e2c, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e2c, 0x8ee54e28, 0x24420001, 0x10430007,
+0x0, 0x8ee24e2c, 0x24420001, 0x10a20005,
+0x0, 0x800251a, 0x0, 0x14a00005,
+0x0, 0x8f820108, 0x24420020, 0xaf820108,
+0x8f820108, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x8002530, 0x0, 0x8ee24e28,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e28, 0x24420001, 0xaee24e28, 0x8ee24e28,
+0x210c0, 0x24424e38, 0x2e22021, 0x24020005,
+0xac820000, 0x24020001, 0xac820004, 0x1520000a,
+0x3c040001, 0xafab0010, 0x8ee27264, 0x3c040001,
+0x24844f10, 0x3c050004, 0xafa20014, 0x8ee604e4,
+0x80028c2, 0x34a5f114, 0x8ee27264, 0x34843800,
+0x3641821, 0x24420010, 0x43102b, 0x14400073,
+0x0, 0x8ee27264, 0x24480010, 0x3641021,
+0x102102b, 0x14400002, 0x3c02ffff, 0x1024021,
+0x8f850100, 0x27623000, 0x24a60020, 0xc2102b,
+0x50400001, 0x27662800, 0x8f820108, 0x10c20004,
+0x0, 0x8f820104, 0x14c20007, 0x2563000c,
+0x8ee201a8, 0x4821, 0x24420001, 0xaee201a8,
+0x80025a4, 0x8ee201a8, 0x2c64000c, 0x1441021,
+0xaca20000, 0xaca30004, 0x24e2fff4, 0xa4a2000e,
+0x24020006, 0xaca80008, 0xaca20018, 0x8ee204e4,
+0xaca2001c, 0x8ee204c8, 0x3c030002, 0x431025,
+0xaca20010, 0xaf860100, 0x92e204ec, 0x14400037,
+0x24090001, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x8c830000, 0x24020005, 0x1462001f,
+0x0, 0x8ee34e28, 0x8ee24e2c, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e2c, 0x8ee54e28, 0x24420001, 0x10430007,
+0x0, 0x8ee24e2c, 0x24420001, 0x10a20005,
+0x0, 0x800258e, 0x0, 0x14a00005,
+0x0, 0x8f820108, 0x24420020, 0xaf820108,
+0x8f820108, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x80025a4, 0x0, 0x8ee24e28,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e28, 0x24420001, 0xaee24e28, 0x8ee24e28,
+0x210c0, 0x24424e38, 0x2e22021, 0x24020005,
+0xac820000, 0x24020001, 0xac820004, 0x1520000a,
+0x2508fffc, 0xafab0010, 0x8ee27264, 0x3c040001,
+0x24844f10, 0x3c050004, 0xafa20014, 0x8ee604e4,
+0x80028c2, 0x34a5f125, 0x34028100, 0xa5020000,
+0x9582000e, 0x8002621, 0xa5020002, 0x8f850100,
+0x27623000, 0x24a60020, 0xc2102b, 0x50400001,
+0x27662800, 0x8f820108, 0x10c20004, 0x0,
+0x8f820104, 0x14c20007, 0x2563000c, 0x8ee201a8,
+0x4821, 0x24420001, 0xaee201a8, 0x8002611,
+0x8ee201a8, 0x2c64000c, 0x1441021, 0xaca20000,
+0xaca30004, 0x8ee37264, 0x24e2fff4, 0xa4a2000e,
+0x24020006, 0xaca20018, 0x24630010, 0xaca30008,
+0x8ee204e4, 0xaca2001c, 0x8ee204c8, 0x3c030002,
+0x431025, 0xaca20010, 0xaf860100, 0x92e204ec,
+0x14400037, 0x24090001, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x8c830000, 0x24020005,
+0x1462001f, 0x0, 0x8ee34e28, 0x8ee24e2c,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e2c, 0x8ee54e28, 0x24420001,
+0x10430007, 0x0, 0x8ee24e2c, 0x24420001,
+0x10a20005, 0x0, 0x80025fb, 0x0,
+0x14a00005, 0x0, 0x8f820108, 0x24420020,
+0xaf820108, 0x8f820108, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x8002611, 0x0,
+0x8ee24e28, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e28, 0x24420001, 0xaee24e28,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x24020005, 0xac820000, 0x24020001, 0xac820004,
+0x1520000a, 0x34028100, 0xafab0010, 0x8ee27264,
+0x3c040001, 0x24844f10, 0x3c050004, 0xafa20014,
+0x8ee604e4, 0x80028c2, 0x34a5f015, 0x8ee37264,
+0xa462000c, 0x8ee37264, 0x9582000e, 0xa462000e,
+0x8002685, 0x24e70004, 0x8f840100, 0x27623000,
+0x24850020, 0xa2102b, 0x50400001, 0x27652800,
+0x8f820108, 0x10a20004, 0x0, 0x8f820104,
+0x14a20007, 0x24020006, 0x8ee201a8, 0x4821,
+0x24420001, 0xaee201a8, 0x800267b, 0x8ee201a8,
+0xac8a0000, 0xac8b0004, 0x8ee37264, 0xa487000e,
+0xac820018, 0xac830008, 0x8ee204e4, 0xac82001c,
+0x8ee204c8, 0x3c030002, 0x431025, 0xac820010,
+0xaf850100, 0x92e204ec, 0x14400037, 0x24090001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c830000, 0x24020005, 0x1462001f, 0x0,
+0x8ee34e28, 0x8ee24e2c, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e2c,
+0x8ee54e28, 0x24420001, 0x10430007, 0x0,
+0x8ee24e2c, 0x24420001, 0x10a20005, 0x0,
+0x8002665, 0x0, 0x14a00005, 0x0,
+0x8f820108, 0x24420020, 0xaf820108, 0x8f820108,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x800267b, 0x0, 0x8ee24e28, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e28,
+0x24420001, 0xaee24e28, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x24020005, 0xac820000,
+0x24020001, 0xac820004, 0x15200009, 0x3c050004,
+0xafab0010, 0x8ee27264, 0x3c040001, 0x24844f10,
+0xafa20014, 0x8ee604e4, 0x80028c2, 0x34a5f004,
+0x8ee2725c, 0x30e7ffff, 0x471021, 0xaee2725c,
+0x8ee204e4, 0x8ee304fc, 0x8ee47258, 0x21100,
+0x431021, 0xac44000c, 0x8ee27258, 0xafa20018,
+0x8ee3725c, 0xafa3001c, 0x8ee2725c, 0x2c42003c,
+0x10400004, 0x24620001, 0x2403fffe, 0x431024,
+0xafa2001c, 0x8ee27264, 0x3c060001, 0x34c63800,
+0x8ee3725c, 0x2405fff8, 0x471021, 0x24420007,
+0x451024, 0x24630007, 0xaee27258, 0x8ee2726c,
+0x8ee47258, 0x651824, 0x431023, 0xaee2726c,
+0x3661021, 0x82202b, 0x14800004, 0x3c03ffff,
+0x8ee27258, 0x431021, 0xaee27258, 0x8ee27258,
+0xaee27264, 0x8f8200f0, 0x24470008, 0x27621800,
+0xe2102b, 0x50400001, 0x27671000, 0x8f8200f4,
+0x14e20007, 0x0, 0x8ee201b4, 0x4821,
+0x24420001, 0xaee201b4, 0x80026c8, 0x8ee201b4,
+0x8f8200f0, 0x24090001, 0x8fa30018, 0x8fa4001c,
+0xac430000, 0xac440004, 0xaf8700f0, 0x15200012,
+0xd1142, 0x8f8200f0, 0xafa20010, 0x8f8200f4,
+0x3c040001, 0x24844f1c, 0xafa20014, 0x8fa60018,
+0x8fa7001c, 0x3c050004, 0xc002407, 0x34a5f005,
+0x8ee20088, 0x24420001, 0xaee20088, 0x8ee20088,
+0x80028d7, 0xaee0725c, 0x30430003, 0x24020002,
+0x10620016, 0x28620003, 0x10400005, 0x24020001,
+0x10620008, 0x0, 0x8002707, 0x0,
+0x24020003, 0x10620017, 0x0, 0x8002707,
+0x0, 0x8ee200e8, 0x8ee300ec, 0x24630001,
+0x2c640001, 0x441021, 0xaee200e8, 0xaee300ec,
+0x8ee200e8, 0x8002707, 0x8ee300ec, 0x8ee200f0,
+0x8ee300f4, 0x24630001, 0x2c640001, 0x441021,
+0xaee200f0, 0xaee300f4, 0x8ee200f0, 0x8002707,
+0x8ee300f4, 0x8ee200f8, 0x8ee300fc, 0x24630001,
+0x2c640001, 0x441021, 0xaee200f8, 0xaee300fc,
+0x8ee200f8, 0x8ee300fc, 0x8ee2725c, 0x8ee400e0,
+0x8ee500e4, 0x401821, 0x1021, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0xaee400e0,
+0xaee500e4, 0x80028d7, 0xaee0725c, 0x30e2ffff,
+0x104001c1, 0x31a20200, 0x1040014d, 0x4821,
+0x96e2045a, 0x30420010, 0x10400149, 0x0,
+0x8f840100, 0x27623000, 0x24850020, 0xa2102b,
+0x50400001, 0x27652800, 0x8f820108, 0x10a20004,
+0x0, 0x8f820104, 0x14a20006, 0x2402000c,
+0x8ee201a8, 0x24420001, 0xaee201a8, 0x8002772,
+0x8ee201a8, 0xac8a0000, 0xac8b0004, 0x8ee37264,
+0x24060005, 0xa482000e, 0xac860018, 0xac830008,
+0x8ee204e4, 0xac82001c, 0x8ee204c8, 0xac820010,
+0xaf850100, 0x92e204ec, 0x14400036, 0x24090001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c820000, 0x1446001f, 0x0, 0x8ee34e28,
+0x8ee24e2c, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e2c, 0x8ee54e28,
+0x24420001, 0x10430007, 0x0, 0x8ee24e2c,
+0x24420001, 0x10a20005, 0x0, 0x800275c,
+0x0, 0x14a00005, 0x0, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8002772,
+0x0, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x24020005, 0xac820000, 0x24020001,
+0xac820004, 0x1520000a, 0x3c040001, 0xafab0010,
+0x8ee27264, 0x3c040001, 0x24844f10, 0x3c050004,
+0xafa20014, 0x8ee604e4, 0x80028c2, 0x34a5f014,
+0x8ee27264, 0x34843800, 0x3641821, 0x24420010,
+0x43102b, 0x14400073, 0x0, 0x8ee27264,
+0x24480010, 0x3641021, 0x102102b, 0x14400002,
+0x3c02ffff, 0x1024021, 0x8f850100, 0x27623000,
+0x24a60020, 0xc2102b, 0x50400001, 0x27662800,
+0x8f820108, 0x10c20004, 0x0, 0x8f820104,
+0x14c20007, 0x2563000c, 0x8ee201a8, 0x4821,
+0x24420001, 0xaee201a8, 0x80027e6, 0x8ee201a8,
+0x2c64000c, 0x1441021, 0xaca20000, 0xaca30004,
+0x24e2fff4, 0xa4a2000e, 0x24020006, 0xaca80008,
+0xaca20018, 0x8ee204e4, 0xaca2001c, 0x8ee204c8,
+0x3c030002, 0x431025, 0xaca20010, 0xaf860100,
+0x92e204ec, 0x14400037, 0x24090001, 0x8ee24e28,
+0x210c0, 0x24424e38, 0x2e22021, 0x8c830000,
+0x24020005, 0x1462001f, 0x0, 0x8ee34e28,
+0x8ee24e2c, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e2c, 0x8ee54e28,
+0x24420001, 0x10430007, 0x0, 0x8ee24e2c,
+0x24420001, 0x10a20005, 0x0, 0x80027d0,
+0x0, 0x14a00005, 0x0, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x80027e6,
+0x0, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x24020005, 0xac820000, 0x24020001,
+0xac820004, 0x1520000a, 0x2508fffc, 0xafab0010,
+0x8ee27264, 0x3c040001, 0x24844f10, 0x3c050004,
+0xafa20014, 0x8ee604e4, 0x80028c2, 0x34a5f015,
+0x34028100, 0xa5020000, 0x9582000e, 0x8002863,
+0xa5020002, 0x8f850100, 0x27623000, 0x24a60020,
+0xc2102b, 0x50400001, 0x27662800, 0x8f820108,
+0x10c20004, 0x0, 0x8f820104, 0x14c20007,
+0x2563000c, 0x8ee201a8, 0x4821, 0x24420001,
+0xaee201a8, 0x8002853, 0x8ee201a8, 0x2c64000c,
+0x1441021, 0xaca20000, 0xaca30004, 0x8ee37264,
+0x24e2fff4, 0xa4a2000e, 0x24020006, 0xaca20018,
+0x24630010, 0xaca30008, 0x8ee204e4, 0xaca2001c,
+0x8ee204c8, 0x3c030002, 0x431025, 0xaca20010,
+0xaf860100, 0x92e204ec, 0x14400037, 0x24090001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c830000, 0x24020005, 0x1462001f, 0x0,
+0x8ee34e28, 0x8ee24e2c, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e2c,
+0x8ee54e28, 0x24420001, 0x10430007, 0x0,
+0x8ee24e2c, 0x24420001, 0x10a20005, 0x0,
+0x800283d, 0x0, 0x14a00005, 0x0,
+0x8f820108, 0x24420020, 0xaf820108, 0x8f820108,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x8002853, 0x0, 0x8ee24e28, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e28,
+0x24420001, 0xaee24e28, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x24020005, 0xac820000,
+0x24020001, 0xac820004, 0x1520000a, 0x34028100,
+0xafab0010, 0x8ee27264, 0x3c040001, 0x24844f10,
+0x3c050004, 0xafa20014, 0x8ee604e4, 0x80028c2,
+0x34a5f016, 0x8ee37264, 0xa462000c, 0x8ee37264,
+0x9582000e, 0xa462000e, 0x80028c6, 0x24e70004,
+0x8f830100, 0x27623000, 0x24640020, 0x82102b,
+0x50400001, 0x27642800, 0x8f820108, 0x10820004,
+0x0, 0x8f820104, 0x14820007, 0x24050005,
+0x8ee201a8, 0x4821, 0x24420001, 0xaee201a8,
+0x80028ba, 0x8ee201a8, 0xac6a0000, 0xac6b0004,
+0x8ee27264, 0xa467000e, 0xac650018, 0xac620008,
+0x8ee204e4, 0xac62001c, 0x8ee204c8, 0xac620010,
+0xaf840100, 0x92e204ec, 0x14400036, 0x24090001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c820000, 0x1445001f, 0x0, 0x8ee34e28,
+0x8ee24e2c, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e2c, 0x8ee54e28,
+0x24420001, 0x10430007, 0x0, 0x8ee24e2c,
+0x24420001, 0x10a20005, 0x0, 0x80028a4,
+0x0, 0x14a00005, 0x0, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x80028ba,
+0x0, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x24020005, 0xac820000, 0x24020001,
+0xac820004, 0x1520000b, 0x3c050004, 0x3c040001,
+0x24844f28, 0xafab0010, 0xafa00014, 0x8ee604e4,
+0x34a5f017, 0xc002407, 0x30e7ffff, 0x80028e5,
+0x0, 0x8ee27264, 0x3c050001, 0x30e4ffff,
+0x441021, 0xaee27264, 0x8ee2725c, 0x8ee37264,
+0x34a53800, 0x441021, 0xaee2725c, 0x3651021,
+0x62182b, 0x14600004, 0x3c03ffff, 0x8ee27264,
+0x431021, 0xaee27264, 0x8ee304e4, 0x96e20458,
+0x24630001, 0x2442ffff, 0x621824, 0xaee304e4,
+0x8ee304e4, 0x8ee204e0, 0x14620005, 0x0,
+0x8f820060, 0x2403fff7, 0x431024, 0xaf820060,
+0x8fbf0020, 0x3e00008, 0x27bd0028, 0x27bdffe0,
+0xafbf0018, 0x8ee304e8, 0x8ee204e0, 0x10620189,
+0x0, 0x8ee204e8, 0x8ee304fc, 0x21100,
+0x621821, 0x94670008, 0x92e204ed, 0x8c680000,
+0x8c690004, 0x10400023, 0x946a000a, 0x8ee204c8,
+0x34460400, 0x31420200, 0x1040001f, 0x0,
+0x96e2045a, 0x30420010, 0x1040001b, 0x3c028000,
+0x3c010001, 0x370821, 0xac2283d8, 0x8ee27264,
+0x9464000e, 0x3c050001, 0x34a53800, 0x24420004,
+0xaee27264, 0x8ee37264, 0x42400, 0x3651021,
+0x3c010001, 0x370821, 0xac2483dc, 0x62182b,
+0x14600005, 0x24e70004, 0x8ee27264, 0x3c03ffff,
+0x431021, 0xaee27264, 0x8ee27264, 0x800291b,
+0xaee27258, 0x8ee604c8, 0x8ee2726c, 0x30e4ffff,
+0x44102a, 0x10400015, 0x0, 0x8f8200d8,
+0x8ee37258, 0x431023, 0xaee2726c, 0x8ee2726c,
+0x1c400007, 0x44102a, 0x8ee2726c, 0x3c030001,
+0x431021, 0xaee2726c, 0x8ee2726c, 0x44102a,
+0x10400006, 0x0, 0x8ee201b8, 0x24420001,
+0xaee201b8, 0x8002a76, 0x8ee201b8, 0x3c020001,
+0x571021, 0x8c4283d8, 0x54400001, 0x24e7fffc,
+0x31420004, 0x104000b9, 0x30e2ffff, 0x3c020001,
+0x571021, 0x8c4283d8, 0x1040002f, 0x5021,
+0x8f840100, 0x27623000, 0x24850020, 0xa2102b,
+0x50400001, 0x27652800, 0x8f820108, 0x10a20032,
+0x0, 0x8f820104, 0x10a2002f, 0x24020015,
+0xac880000, 0xac890004, 0x8ee37264, 0xa487000e,
+0xac820018, 0xac830008, 0x8ee204e8, 0x3c030001,
+0x771821, 0x8c6383dc, 0xac860010, 0x431025,
+0xac82001c, 0xaf850100, 0x92e204ec, 0x14400066,
+0x240a0001, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e21821, 0x24020015, 0xac620000, 0x24020001,
+0x80029c3, 0xac620004, 0x8f840100, 0x27623000,
+0x24850020, 0xa2102b, 0x50400001, 0x27652800,
+0x8f820108, 0x10a20004, 0x0, 0x8f820104,
+0x14a20006, 0x24020006, 0x8ee201a8, 0x24420001,
+0xaee201a8, 0x80029c3, 0x8ee201a8, 0xac880000,
+0xac890004, 0x8ee37264, 0xa487000e, 0xac820018,
+0xac830008, 0x8ee204e8, 0xac860010, 0xac82001c,
+0xaf850100, 0x92e204ec, 0x14400037, 0x240a0001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c830000, 0x24020005, 0x1462001f, 0x0,
+0x8ee34e28, 0x8ee24e2c, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e2c,
+0x8ee54e28, 0x24420001, 0x10430007, 0x0,
+0x8ee24e2c, 0x24420001, 0x10a20005, 0x0,
+0x80029ad, 0x0, 0x14a00005, 0x0,
+0x8f820108, 0x24420020, 0xaf820108, 0x8f820108,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x80029c3, 0x0, 0x8ee24e28, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e28,
+0x24420001, 0xaee24e28, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x24020005, 0xac820000,
+0x24020001, 0xac820004, 0x1540000a, 0x24020001,
+0xafa90010, 0x8ee27264, 0x3c040001, 0x24844f10,
+0x3c050004, 0xafa20014, 0x8ee604e4, 0x8002a53,
+0x34a5f204, 0xa2e204ed, 0x8ee204e8, 0x8ee304fc,
+0x8ee47258, 0x3c060001, 0x34c63800, 0x3c010001,
+0x370821, 0xac2083d8, 0x3c010001, 0x370821,
+0xac2083dc, 0x21100, 0x431021, 0xac44000c,
+0x8ee27264, 0x2405fff8, 0x30e3ffff, 0x431021,
+0x24420007, 0x451024, 0x24630007, 0xaee27258,
+0x8ee2726c, 0x8ee47258, 0x651824, 0x431023,
+0xaee2726c, 0x3661021, 0x82202b, 0x14800004,
+0x3c03ffff, 0x8ee27258, 0x431021, 0xaee27258,
+0x8ee27258, 0x8002a68, 0xaee27264, 0x10400073,
+0x0, 0x8f830100, 0x27623000, 0x24640020,
+0x82102b, 0x14400002, 0x5021, 0x27642800,
+0x8f820108, 0x10820004, 0x0, 0x8f820104,
+0x14820006, 0x24050005, 0x8ee201a8, 0x24420001,
+0xaee201a8, 0x8002a4a, 0x8ee201a8, 0xac680000,
+0xac690004, 0x8ee27264, 0xa467000e, 0xac650018,
+0xac620008, 0x8ee204e8, 0xac660010, 0xac62001c,
+0xaf840100, 0x92e204ec, 0x14400036, 0x240a0001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c820000, 0x1445001f, 0x0, 0x8ee34e28,
+0x8ee24e2c, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e2c, 0x8ee54e28,
+0x24420001, 0x10430007, 0x0, 0x8ee24e2c,
+0x24420001, 0x10a20005, 0x0, 0x8002a34,
+0x0, 0x14a00005, 0x0, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8002a4a,
+0x0, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x24020005, 0xac820000, 0x24020001,
+0xac820004, 0x1540000c, 0x30e5ffff, 0x3c040001,
+0x24844f28, 0x3c050004, 0xafa90010, 0xafa00014,
+0x8ee604e4, 0x34a5f237, 0xc002407, 0x30e7ffff,
+0x8002a76, 0x0, 0x8ee27264, 0x451021,
+0xaee27264, 0x8ee2726c, 0x8ee37264, 0x3c040001,
+0x34843800, 0xa2e004ed, 0x451023, 0xaee2726c,
+0x3641021, 0x62182b, 0x14600004, 0x3c03ffff,
+0x8ee27264, 0x431021, 0xaee27264, 0x8ee304e8,
+0x96e20458, 0x24630001, 0x2442ffff, 0x621824,
+0xaee304e8, 0x8ee304e8, 0x8ee204e0, 0x14620005,
+0x0, 0x8f820060, 0x2403fff7, 0x431024,
+0xaf820060, 0x8fbf0018, 0x3e00008, 0x27bd0020,
+0x27bdffe0, 0xafbf001c, 0xafb00018, 0x8f820100,
+0x8ee34e2c, 0x8f820104, 0x8f850108, 0x24020040,
+0x24630001, 0x50620003, 0x1021, 0x8ee24e2c,
+0x24420001, 0xaee24e2c, 0x8ee24e2c, 0x8ee34e2c,
+0x210c0, 0x24424e38, 0x2e22021, 0x8ee24e28,
+0x8c870004, 0x14620007, 0xa03021, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8002aa6,
+0xac800000, 0x8ee24e2c, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e2c, 0x24420001,
+0x210c0, 0x24424e38, 0x2e22021, 0x8c820004,
+0x8f830108, 0x21140, 0x621821, 0xaf830108,
+0xac800000, 0x8cc20018, 0x2443fffe, 0x2c620013,
+0x104000c1, 0x31080, 0x3c010001, 0x220821,
+0x8c224f50, 0x400008, 0x0, 0x8ee204f0,
+0x471021, 0xaee204f0, 0x8ee204f0, 0x8f43023c,
+0x43102b, 0x144000be, 0x0, 0x8ee304e4,
+0x8ee204f8, 0x506200ba, 0xa2e004f4, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x8002b16,
+0x8ee201a4, 0x8ee204e4, 0xac62001c, 0x8ee404b0,
+0x8ee504b4, 0x2462001c, 0xac620008, 0x24020008,
+0xa462000e, 0x24020011, 0xac620018, 0xac640000,
+0xac650004, 0x8ee204c4, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400037, 0x24100001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020012, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee54e30,
+0x24420001, 0x10430007, 0x0, 0x8ee24e34,
+0x24420001, 0x10a20005, 0x0, 0x8002b00,
+0x0, 0x14a00005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8002b16,
+0x0, 0x8ee24e30, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020012, 0xac820000, 0x24020001,
+0xac820004, 0x5600000b, 0x24100001, 0x8ee204e4,
+0x3c040001, 0x24844f34, 0xafa00014, 0xafa20010,
+0x8ee60608, 0x8f470228, 0x3c050009, 0xc002407,
+0x34a5f006, 0x16000003, 0x24020001, 0x8002b75,
+0xa2e204f4, 0x8ee20170, 0x24420001, 0xaee20170,
+0x8ee20170, 0x8ee204e4, 0xa2e004f4, 0xaee004f0,
+0xaee204f8, 0x8f42023c, 0x50400045, 0xaee07274,
+0x8ee20184, 0x24420001, 0xaee20184, 0x8ee20184,
+0x8002b75, 0xaee07274, 0x8ee20504, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee20504,
+0x24420001, 0xaee20504, 0x8ee20504, 0x8cc30018,
+0x21080, 0x571021, 0x8c440508, 0x24020003,
+0x1462000f, 0x0, 0x3c020001, 0x571021,
+0x904283b1, 0x10400014, 0x0, 0x8ee201d0,
+0x8ee35240, 0x441021, 0xaee201d0, 0x8ee201d8,
+0x641821, 0x306300ff, 0x8002b5d, 0xaee35240,
+0x8ee201cc, 0x8ee30e10, 0x441021, 0xaee201cc,
+0x8ee201d8, 0x641821, 0x306301ff, 0xaee30e10,
+0x441021, 0xaee201d8, 0x8ee20000, 0x34420040,
+0x8002b75, 0xaee20000, 0x8ee2014c, 0x3c010001,
+0x370821, 0xa02083e0, 0x24420001, 0xaee2014c,
+0x8002b75, 0x8ee2014c, 0x94c7000e, 0x8cc2001c,
+0x3c040001, 0x24844f40, 0xafa60014, 0xafa20010,
+0x8cc60018, 0x3c050008, 0xc002407, 0x34a50910,
+0x8fbf001c, 0x8fb00018, 0x3e00008, 0x27bd0020,
+0x27bdff98, 0xafbf0060, 0xafbe005c, 0xafb60058,
+0xafb50054, 0xafb40050, 0xafb3004c, 0xafb20048,
+0xafb10044, 0xafb00040, 0x8f830108, 0x8f820104,
+0xafa00024, 0x106203e7, 0xafa0002c, 0x3c1e0001,
+0x37de3800, 0x3c0bffff, 0x8f930108, 0x8e620018,
+0x8f830104, 0x2443fffe, 0x2c620014, 0x104003cf,
+0x31080, 0x3c010001, 0x220821, 0x8c224fa0,
+0x400008, 0x0, 0x9663000e, 0x8ee2725c,
+0x8ee404f0, 0x431021, 0xaee2725c, 0x8e63001c,
+0x96e20458, 0x24840001, 0xaee404f0, 0x24630001,
+0x2442ffff, 0x621824, 0xaee304e4, 0x8f42023c,
+0x82202b, 0x148003b9, 0x0, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x8002c02,
+0x8ee201a4, 0x8ee204e4, 0xac62001c, 0x8ee404b0,
+0x8ee504b4, 0x2462001c, 0xac620008, 0x24020008,
+0xa462000e, 0x24020011, 0xac620018, 0xac640000,
+0xac650004, 0x8ee204c4, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400037, 0x24100001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020012, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x240c0040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x104c0007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8002bec,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8002c02,
+0x0, 0x8ee24e30, 0x240c0040, 0x24420001,
+0x504c0003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020012, 0x240c0001, 0xac820000,
+0xac8c0004, 0x5600000d, 0x24100001, 0x8ee204e4,
+0x3c040001, 0x24844f34, 0xafa00014, 0xafa20010,
+0x8ee60608, 0x8f470228, 0x3c050009, 0x34a5f006,
+0xc002407, 0xafab0038, 0x8fab0038, 0x1200030a,
+0x240c0001, 0x8002f1d, 0x0, 0x966c001c,
+0xafac002c, 0x9662001e, 0x3c0c8000, 0xafac0024,
+0xae62001c, 0x8e75001c, 0x8ee204fc, 0x8ee404fc,
+0x151900, 0x621021, 0x8c52000c, 0x92e27b98,
+0x641821, 0x9476000a, 0x14400003, 0x32c20002,
+0xaef27ba4, 0xaef57b9c, 0x1040004b, 0x8021,
+0x96e2045a, 0x30420002, 0x10400047, 0x0,
+0x8e63001c, 0x8ee204fc, 0x32100, 0x821021,
+0x8c42000c, 0x37e1821, 0x24420022, 0x43102b,
+0x1440000a, 0x24050014, 0x8ee204fc, 0x821021,
+0x8c44000c, 0xafab0038, 0xc002f79, 0x2484000e,
+0x8fab0038, 0x8002c56, 0x3050ffff, 0x8ee204fc,
+0x821021, 0x8c42000c, 0x9450000e, 0x94430010,
+0x94440012, 0x94450014, 0x2038021, 0x2048021,
+0x2058021, 0x94430016, 0x94440018, 0x9445001a,
+0x2038021, 0x2048021, 0x2058021, 0x9443001c,
+0x9444001e, 0x94420020, 0x2038021, 0x2048021,
+0x2028021, 0x101c02, 0x3202ffff, 0x628021,
+0x8e63001c, 0x8ee204fc, 0x102402, 0x32900,
+0xa21021, 0x8c43000c, 0x3202ffff, 0x828021,
+0x37e1021, 0x24630018, 0x62182b, 0x14600009,
+0x0, 0x8ee204fc, 0xa21021, 0x8c43000c,
+0x101027, 0x3c01ffff, 0x230821, 0x8002c73,
+0xa4220018, 0x8ee204fc, 0xa21021, 0x8c43000c,
+0x101027, 0xa4620018, 0x96e2045a, 0x8821,
+0x30420008, 0x14400063, 0xa021, 0x8e63001c,
+0x8ee204fc, 0x33100, 0xc21021, 0x8c42000c,
+0x37e1821, 0x24420022, 0x43102b, 0x14400035,
+0x0, 0x8ee204fc, 0xc21021, 0x8c42000c,
+0x24470010, 0x37e1021, 0xe2102b, 0x50400001,
+0xeb3821, 0x8ee204fc, 0x94f10000, 0xc21021,
+0x8c42000c, 0x24470016, 0x37e1021, 0xe2102b,
+0x14400002, 0x2634ffec, 0xeb3821, 0x8ee204fc,
+0x90e30001, 0xc21021, 0x8c42000c, 0x2447001a,
+0x37e1021, 0xe2102b, 0x14400002, 0x2838821,
+0xeb3821, 0x94e20000, 0x24e70002, 0x2228821,
+0x37e1021, 0xe2102b, 0x50400001, 0xeb3821,
+0x94e20000, 0x24e70002, 0x2228821, 0x37e1021,
+0xe2102b, 0x50400001, 0xeb3821, 0x94e20000,
+0x24e70002, 0x2228821, 0x37e1021, 0xe2102b,
+0x50400001, 0xeb3821, 0x94e20000, 0x8002cd4,
+0x2228821, 0x8ee204fc, 0xc21021, 0x8c43000c,
+0x8ee204fc, 0x94710010, 0x8ee304fc, 0xc21021,
+0x8c44000c, 0xc31821, 0x8c62000c, 0x2634ffec,
+0x90840017, 0x8ee304fc, 0x9442001a, 0x2848821,
+0xc31821, 0x8c65000c, 0x8ee304fc, 0x2228821,
+0x8ee204fc, 0xc31821, 0xc21021, 0x8c44000c,
+0x8c62000c, 0x94a3001c, 0x9484001e, 0x94420020,
+0x2238821, 0x2248821, 0x2228821, 0x111c02,
+0x3222ffff, 0x628821, 0x111c02, 0x3222ffff,
+0x628821, 0x32c20001, 0x104000b2, 0x0,
+0x96e2045a, 0x30420001, 0x104000ae, 0x32c20080,
+0x10400008, 0x0, 0x92e27b98, 0x14400005,
+0x0, 0x240c0001, 0xa2ec7b98, 0xaef57b9c,
+0xaef27ba4, 0x8ee304fc, 0x151100, 0x431021,
+0x8c47000c, 0x37e1821, 0x24e2000e, 0x43102b,
+0x14400008, 0xe02021, 0x2405000e, 0xc002f79,
+0xafab0038, 0x3042ffff, 0x8fab0038, 0x8002d0d,
+0x2028021, 0x94e60000, 0x24e70002, 0x94e50000,
+0x24e70002, 0x94e30000, 0x24e70002, 0x94e20000,
+0x24e70002, 0x94e40000, 0x24e70002, 0x2068021,
+0x2058021, 0x2038021, 0x2028021, 0x94e20000,
+0x94e30002, 0x2048021, 0x2028021, 0x2038021,
+0x101c02, 0x3202ffff, 0x628021, 0x101c02,
+0x3202ffff, 0x8ee47b9c, 0x628021, 0x14950004,
+0x3205ffff, 0x96620016, 0x8002d1b, 0x512021,
+0x96620016, 0x542021, 0x41402, 0x3083ffff,
+0x432021, 0x852023, 0x41402, 0x822021,
+0x3084ffff, 0x50800001, 0x3404ffff, 0x8ee27ba4,
+0x24430017, 0x37e1021, 0x62102b, 0x50400001,
+0x6b1821, 0x90630000, 0x24020011, 0x14620031,
+0x24020006, 0x8ee27ba4, 0x37e1821, 0x24420028,
+0x43102b, 0x14400018, 0x0, 0x8ee27b9c,
+0x12a2000a, 0x32c20100, 0x8ee27ba4, 0x3c01ffff,
+0x220821, 0x94220028, 0x822021, 0x41c02,
+0x3082ffff, 0x622021, 0x32c20100, 0x14400004,
+0x41027, 0x92e27b98, 0x14400002, 0x41027,
+0x3044ffff, 0x8ee27ba4, 0x3c01ffff, 0x220821,
+0x8002d8e, 0xa4240028, 0x8ee27b9c, 0x12a20008,
+0x32c20100, 0x8ee27ba4, 0x94420028, 0x822021,
+0x41c02, 0x3082ffff, 0x622021, 0x32c20100,
+0x14400004, 0x41027, 0x92e27b98, 0x14400002,
+0x41027, 0x3044ffff, 0x8ee27ba4, 0x8002d8e,
+0xa4440028, 0x1462002f, 0x37e1821, 0x8ee27ba4,
+0x24420032, 0x43102b, 0x14400018, 0x0,
+0x8ee27b9c, 0x12a2000a, 0x32c20100, 0x8ee27ba4,
+0x3c01ffff, 0x220821, 0x94220032, 0x822021,
+0x41c02, 0x3082ffff, 0x622021, 0x32c20100,
+0x14400004, 0x41027, 0x92e27b98, 0x14400002,
+0x41027, 0x3044ffff, 0x8ee27ba4, 0x3c01ffff,
+0x220821, 0x8002d8e, 0xa4240032, 0x8ee27b9c,
+0x12a20008, 0x32c20100, 0x8ee27ba4, 0x94420032,
+0x822021, 0x41c02, 0x3082ffff, 0x622021,
+0x32c20100, 0x14400004, 0x41027, 0x92e27b98,
+0x14400002, 0x41027, 0x3044ffff, 0x8ee27ba4,
+0xa4440032, 0x8fac0024, 0x1180002c, 0x37e1821,
+0x8e420000, 0xae42fffc, 0x2642000a, 0x43102b,
+0x1440001b, 0x34038100, 0x26430004, 0x37e1021,
+0x62102b, 0x14400003, 0x602021, 0x6b1821,
+0x602021, 0x8c620000, 0x24630004, 0xae420000,
+0x37e1021, 0x62102b, 0x50400001, 0x6b1821,
+0x8c620000, 0xac820000, 0x34028100, 0xa4620000,
+0x24630002, 0x37e1021, 0x62102b, 0x50400001,
+0x6b1821, 0x97ac002e, 0x8002db8, 0xa46c0000,
+0x8e420004, 0x8e440008, 0xa6430008, 0x97ac002e,
+0xa64c000a, 0xae420000, 0xae440004, 0x9662000e,
+0x2652fffc, 0x24420004, 0xa662000e, 0x9662000e,
+0x8ee3725c, 0x621821, 0xaee3725c, 0xafb20018,
+0x8ee3725c, 0xafa3001c, 0x8ee2725c, 0x2c42003c,
+0x10400004, 0x24620001, 0x2403fffe, 0x431024,
+0xafa2001c, 0x32c20080, 0x1040000c, 0x32c20100,
+0x8ee27ba8, 0x24430001, 0x210c0, 0x571021,
+0xaee37ba8, 0x8fa30018, 0x8fa4001c, 0xac437bac,
+0xac447bb0, 0x8002ea4, 0xaee0725c, 0x10400072,
+0x0, 0x8ee27ba8, 0x24430001, 0x210c0,
+0x571021, 0xaee37ba8, 0x8fa30018, 0x8fa4001c,
+0xac437bac, 0xac447bb0, 0x8ee27ba8, 0x10400063,
+0x4821, 0x5021, 0x8f8200f0, 0x24480008,
+0x27621800, 0x102102b, 0x50400001, 0x27681000,
+0x8f8200f4, 0x15020007, 0x0, 0x8ee201b4,
+0x8021, 0x24420001, 0xaee201b4, 0x8002dfe,
+0x8ee201b4, 0x8f8300f0, 0x24100001, 0x1571021,
+0x8c447bac, 0x8c457bb0, 0xac640000, 0xac650004,
+0xaf8800f0, 0x16000006, 0x2ea1021, 0x8ee20088,
+0x24420001, 0xaee20088, 0x8002e43, 0x8ee20088,
+0x8c427bb0, 0x8ee400e0, 0x8ee500e4, 0x8ee67b9c,
+0x401821, 0x1021, 0xa32821, 0xa3382b,
+0x822021, 0x872021, 0x8ee204fc, 0xc93021,
+0x63100, 0xaee400e0, 0xaee500e4, 0xc23021,
+0x94c2000a, 0x240c0002, 0x21142, 0x30430003,
+0x106c0016, 0x28620003, 0x10400005, 0x240c0001,
+0x106c0008, 0x0, 0x8002e43, 0x0,
+0x240c0003, 0x106c0017, 0x0, 0x8002e43,
+0x0, 0x8ee200e8, 0x8ee300ec, 0x24630001,
+0x2c640001, 0x441021, 0xaee200e8, 0xaee300ec,
+0x8ee200e8, 0x8002e43, 0x8ee300ec, 0x8ee200f0,
+0x8ee300f4, 0x24630001, 0x2c640001, 0x441021,
+0xaee200f0, 0xaee300f4, 0x8ee200f0, 0x8002e43,
+0x8ee300f4, 0x8ee200f8, 0x8ee300fc, 0x24630001,
+0x2c640001, 0x441021, 0xaee200f8, 0xaee300fc,
+0x8ee200f8, 0x8ee300fc, 0x8ee27ba8, 0x25290001,
+0x122102b, 0x1440ffa0, 0x254a0008, 0xa2e07b98,
+0x8002ea3, 0xaee07ba8, 0x8f8200f0, 0x24470008,
+0x27621800, 0xe2102b, 0x50400001, 0x27671000,
+0x8f8200f4, 0x14e20007, 0x0, 0x8ee201b4,
+0x8021, 0x24420001, 0xaee201b4, 0x8002e61,
+0x8ee201b4, 0x8f8200f0, 0x24100001, 0x8fa30018,
+0x8fa4001c, 0xac430000, 0xac440004, 0xaf8700f0,
+0x16000007, 0x0, 0x8ee20088, 0x24420001,
+0xaee20088, 0x8ee20088, 0x8002ea4, 0xaee0725c,
+0x8ee2725c, 0x8ee400e0, 0x8ee500e4, 0x240c0002,
+0x401821, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0x161142, 0x30430003,
+0xaee400e0, 0xaee500e4, 0x106c0017, 0x2c620003,
+0x10400005, 0x240c0001, 0x106c0008, 0x0,
+0x8002ea4, 0xaee0725c, 0x240c0003, 0x106c0019,
+0x0, 0x8002ea4, 0xaee0725c, 0x8ee200e8,
+0x8ee300ec, 0x24630001, 0x2c640001, 0x441021,
+0xaee200e8, 0xaee300ec, 0x8ee200e8, 0x8ee300ec,
+0x8002ea4, 0xaee0725c, 0x8ee200f0, 0x8ee300f4,
+0x24630001, 0x2c640001, 0x441021, 0xaee200f0,
+0xaee300f4, 0x8ee200f0, 0x8ee300f4, 0x8002ea4,
+0xaee0725c, 0x8ee200f8, 0x8ee300fc, 0x24630001,
+0x2c640001, 0x441021, 0xaee200f8, 0xaee300fc,
+0x8ee200f8, 0x8ee300fc, 0xaee0725c, 0x8e62001c,
+0x96e30458, 0x8ee404f0, 0x24420001, 0x2463ffff,
+0x431024, 0x24840001, 0xaee204e4, 0xaee404f0,
+0x8f42023c, 0x82202b, 0x148000b0, 0x0,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8021, 0x24420001, 0xaee201a4,
+0x8002f0b, 0x8ee201a4, 0x8ee204e4, 0xac62001c,
+0x8ee404b0, 0x8ee504b4, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400037, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020012, 0x1462001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x240c0040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x104c0007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8002ef5, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x8002f0b, 0x0, 0x8ee24e30, 0x240c0040,
+0x24420001, 0x504c0003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020012, 0x240c0001,
+0xac820000, 0xac8c0004, 0x5600000d, 0x24100001,
+0x8ee204e4, 0x3c040001, 0x24844f34, 0xafa00014,
+0xafa20010, 0x8ee60608, 0x8f470228, 0x3c050009,
+0x34a5f006, 0xc002407, 0xafab0038, 0x8fab0038,
+0x16000003, 0x240c0001, 0x8002f60, 0xa2ec04f4,
+0x8ee20170, 0x24420001, 0xaee20170, 0x8ee20170,
+0x8ee204e4, 0xa2e004f4, 0xaee004f0, 0xaee07274,
+0xaee204f8, 0x8f42023c, 0x10400038, 0x0,
+0x8ee20184, 0x24420001, 0xaee20184, 0x8002f60,
+0x8ee20184, 0x8ee20504, 0x240c0040, 0x24420001,
+0x504c0003, 0x1021, 0x8ee20504, 0x24420001,
+0xaee20504, 0x8ee20504, 0x8e630018, 0x240c0003,
+0x21080, 0x571021, 0x146c000f, 0x8c440508,
+0x3c020001, 0x571021, 0x904283b1, 0x10400014,
+0x0, 0x8ee201d0, 0x8ee35240, 0x441021,
+0xaee201d0, 0x8ee201d8, 0x641821, 0x306300ff,
+0x8002f53, 0xaee35240, 0x8ee201cc, 0x8ee30e10,
+0x441021, 0xaee201cc, 0x8ee201d8, 0x641821,
+0x306301ff, 0xaee30e10, 0x441021, 0xaee201d8,
+0x8ee20000, 0x34420040, 0x8002f60, 0xaee20000,
+0x8ee2014c, 0x3c010001, 0x370821, 0xa02083e0,
+0x24420001, 0xaee2014c, 0x8ee2014c, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8f820108,
+0x27633000, 0x43102b, 0x14400002, 0x27622800,
+0xaf820108, 0x8f830108, 0x8f820104, 0x1462fc1e,
+0x0, 0x8fbf0060, 0x8fbe005c, 0x8fb60058,
+0x8fb50054, 0x8fb40050, 0x8fb3004c, 0x8fb20048,
+0x8fb10044, 0x8fb00040, 0x3e00008, 0x27bd0068,
+0x52843, 0x10a0000d, 0x3021, 0x3c030001,
+0x34633800, 0x3c07ffff, 0x3631021, 0x82102b,
+0x50400001, 0x872021, 0x94820000, 0x24840002,
+0x24a5ffff, 0x14a0fff8, 0xc23021, 0x61c02,
+0x30c2ffff, 0x623021, 0x61c02, 0x30c2ffff,
+0x623021, 0x3e00008, 0x30c2ffff, 0x27bdff88,
+0x240f0001, 0xafbf0070, 0xafbe006c, 0xafb60068,
+0xafb50064, 0xafb40060, 0xafb3005c, 0xafb20058,
+0xafb10054, 0xafb00050, 0xa3a00027, 0xafaf002c,
+0x8ee204d4, 0x8021, 0x30420001, 0x1440002a,
+0xa3a00037, 0x8f8700e0, 0x8f8800c4, 0x8f8200e8,
+0xe22023, 0x2c821000, 0x50400001, 0x24841000,
+0x420c2, 0x801821, 0x8ee400c8, 0x8ee500cc,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c8, 0xaee500cc, 0x8f8300c8,
+0x3c02000a, 0x3442efff, 0x1032023, 0x44102b,
+0x10400003, 0x3c02000a, 0x3442f000, 0x822021,
+0x801821, 0x8ee400c0, 0x8ee500c4, 0x1021,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xaee400c0, 0xaee500c4, 0xaf8800c8, 0xaf8700e4,
+0x80034d0, 0xaf8700e8, 0x3c020001, 0x571021,
+0x904283c0, 0x1040000b, 0x0, 0x3c140001,
+0x297a021, 0x8e9483c4, 0x3c130001, 0x2779821,
+0x8e7383c8, 0x3c120001, 0x2579021, 0x8003197,
+0x8e5283cc, 0x8f8300e0, 0x8f8200e4, 0x10430007,
+0x8821, 0x8f8200e4, 0x24110001, 0x8c430000,
+0x8c440004, 0xafa30018, 0xafa4001c, 0x1620000e,
+0x3c02ffff, 0x8f8200c4, 0xafa20010, 0x8f8200c8,
+0x3c040001, 0x24845050, 0xafa20014, 0x8f8600e0,
+0x8f8700e4, 0x3c050006, 0xc002407, 0x34a5f000,
+0x80034d0, 0x0, 0x8fa3001c, 0x8fb20018,
+0x3074ffff, 0x2694fffc, 0x621024, 0x10400058,
+0x2409821, 0x3c020080, 0x621024, 0x1040000a,
+0x3c040040, 0x8ee2007c, 0x24420001, 0xaee2007c,
+0x8ee2007c, 0x8ee201fc, 0x24420001, 0xaee201fc,
+0x80034ca, 0x8ee201fc, 0x3c060004, 0x3c0b0001,
+0x3c0a0002, 0x3c050010, 0x3c090008, 0x8ee20080,
+0x3c080020, 0x34078000, 0x24420001, 0xaee20080,
+0x8ee20080, 0x8fa2001c, 0x441824, 0x10660021,
+0xc3102b, 0x14400007, 0x0, 0x106b0011,
+0x0, 0x106a0015, 0x0, 0x800304d,
+0x42042, 0x10650023, 0xa3102b, 0x14400005,
+0x0, 0x10690019, 0x0, 0x800304d,
+0x42042, 0x10680021, 0x0, 0x800304d,
+0x42042, 0x8ee20034, 0x24420001, 0xaee20034,
+0x8ee20034, 0x800304d, 0x42042, 0x8ee201ec,
+0x24420001, 0xaee201ec, 0x8ee201ec, 0x800304d,
+0x42042, 0x8ee201f0, 0x24420001, 0xaee201f0,
+0x8ee201f0, 0x800304d, 0x42042, 0x8ee201f4,
+0x24420001, 0xaee201f4, 0x8ee201f4, 0x800304d,
+0x42042, 0x8ee20030, 0x24420001, 0xaee20030,
+0x8ee20030, 0x800304d, 0x42042, 0x8ee201f8,
+0x24420001, 0xaee201f8, 0x8ee201f8, 0x42042,
+0x1087047c, 0x0, 0x8003012, 0x0,
+0x3c020001, 0x571021, 0x904283b2, 0x14400084,
+0x24020001, 0x3c030001, 0x771821, 0x906383b3,
+0x1462007f, 0x3c020100, 0x8e430000, 0x621024,
+0x1040006f, 0x2402ffff, 0x14620005, 0x24100001,
+0x96430004, 0x3402ffff, 0x10620075, 0x0,
+0x92e204d8, 0x14400072, 0x0, 0x3c020001,
+0x571021, 0x8c4283b4, 0x28420005, 0x10400020,
+0x3821, 0x3c020001, 0x571021, 0x8c4283b4,
+0x18400016, 0x2821, 0x96660000, 0x520c0,
+0x971021, 0x9442777e, 0x14460009, 0x971021,
+0x94437780, 0x96620002, 0x14620005, 0x971021,
+0x94437782, 0x96620004, 0x50620008, 0x24070001,
+0x3c020001, 0x571021, 0x8c4283b4, 0x24a50001,
+0xa2102a, 0x5440ffee, 0x520c0, 0x30e200ff,
+0x10400440, 0x0, 0x80030d9, 0x0,
+0x2402021, 0xc0022fe, 0x24050006, 0x3044001f,
+0x428c0, 0x2e51021, 0x9442727c, 0x30424000,
+0x14400434, 0xb71021, 0x9443727e, 0x96620000,
+0x1462000b, 0x418c0, 0xb71021, 0x94437280,
+0x96620002, 0x14620006, 0x418c0, 0xb71021,
+0x94437282, 0x96620004, 0x10620035, 0x418c0,
+0x2e31021, 0x9442727c, 0x30428000, 0x14400421,
+0x2e31021, 0x944b727c, 0x96670000, 0xb28c0,
+0xb71021, 0x9442737e, 0x80030bb, 0x3021,
+0x420c0, 0x2e41021, 0x9443737c, 0x2e41021,
+0x944b737c, 0x30638000, 0x14600010, 0xb28c0,
+0xb71021, 0x9442737e, 0x1447fff5, 0x1602021,
+0xb71021, 0x94437380, 0x96620002, 0x5462fff1,
+0x420c0, 0xb71021, 0x94437382, 0x96620004,
+0x5462ffec, 0x420c0, 0x24060001, 0x30c200ff,
+0x10400400, 0x0, 0x80030d9, 0x0,
+0x97430202, 0x96420000, 0x146203fa, 0x0,
+0x97430204, 0x96420002, 0x146203f6, 0x0,
+0x97430206, 0x96420004, 0x146203f2, 0x0,
+0x92420000, 0x3a030001, 0x30420001, 0x431024,
+0x10400074, 0x2402ffff, 0x8e630000, 0x14620004,
+0x3402ffff, 0x96630004, 0x1062006f, 0x240f0002,
+0x3c020001, 0x571021, 0x904283b2, 0x1440006a,
+0x240f0003, 0x92e204d8, 0x54400068, 0xafaf002c,
+0x3c020001, 0x571021, 0x8c4283b4, 0x28420005,
+0x10400020, 0x3821, 0x3c020001, 0x571021,
+0x8c4283b4, 0x18400016, 0x2821, 0x96660000,
+0x520c0, 0x971021, 0x9442777e, 0x14460009,
+0x971021, 0x94437780, 0x96620002, 0x14620005,
+0x971021, 0x94437782, 0x96620004, 0x50620008,
+0x24070001, 0x3c020001, 0x571021, 0x8c4283b4,
+0x24a50001, 0xa2102a, 0x5440ffee, 0x520c0,
+0x30e200ff, 0x14400044, 0x240f0003, 0x80034ca,
+0x0, 0x2402021, 0xc0022fe, 0x24050006,
+0x3044001f, 0x428c0, 0x2e51021, 0x9442727c,
+0x30424000, 0x144003af, 0xb71021, 0x9443727e,
+0x96620000, 0x1462000b, 0x418c0, 0xb71021,
+0x94437280, 0x96620002, 0x14620006, 0x418c0,
+0xb71021, 0x94437282, 0x96620004, 0x10620027,
+0x418c0, 0x2e31021, 0x9442727c, 0x30428000,
+0x1440039c, 0x2e31021, 0x944b727c, 0x96670000,
+0xb28c0, 0xb71021, 0x9442737e, 0x8003140,
+0x3021, 0x420c0, 0x2e41021, 0x9443737c,
+0x2e41021, 0x944b737c, 0x30638000, 0x14600010,
+0xb28c0, 0xb71021, 0x9442737e, 0x1447fff5,
+0x1602021, 0xb71021, 0x94437380, 0x96620002,
+0x5462fff1, 0x420c0, 0xb71021, 0x94437382,
+0x96620004, 0x5462ffec, 0x420c0, 0x24060001,
+0x30c200ff, 0x1040037b, 0x0, 0x8003153,
+0x240f0003, 0x240f0001, 0xafaf002c, 0x8f420260,
+0x54102b, 0x1040003a, 0x0, 0x8f8300e4,
+0x8f8200e0, 0x10620003, 0x24630008, 0xaf8300e4,
+0xaf8300e8, 0x8ee400c0, 0x8ee500c4, 0x2801821,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0x8ee20058,
+0x24420001, 0xaee20058, 0x8ee20058, 0x8ee2007c,
+0x24420001, 0xaee2007c, 0x8ee2007c, 0x8f8200e0,
+0xafa20010, 0x8f8200e4, 0x3c040001, 0x24845058,
+0xafa20014, 0x8fa60018, 0x8fa7001c, 0x3c050006,
+0xc002407, 0x34a5f003, 0x80034d0, 0x0,
+0x8ee25240, 0xafa20010, 0x8ee25244, 0x3c040001,
+0x24845064, 0xafa20014, 0x8ee60e10, 0x8ee70e18,
+0x3c050006, 0xc002407, 0x34a5f002, 0x8ee201c0,
+0x24420001, 0xaee201c0, 0x8ee20000, 0x8ee301c0,
+0x2403ffbf, 0x431024, 0x8003474, 0xaee20000,
+0x96e20468, 0x54102b, 0x10400003, 0x0,
+0x240f0001, 0xa3af0027, 0x12800301, 0x24160007,
+0x24150040, 0x241e0001, 0x240e0012, 0x8ee2724c,
+0x8f430280, 0x24420001, 0x304207ff, 0x106202d3,
+0x0, 0x93a20027, 0x10400014, 0x0,
+0x8ee35240, 0x8ee25244, 0x10620009, 0x26ed5244,
+0x8ee65244, 0x8ee35244, 0x21140, 0x24425248,
+0x2e28021, 0x24630001, 0x80031c3, 0x306b00ff,
+0x92e27248, 0x1440ffca, 0x0, 0x8ee201e0,
+0x24420001, 0xaee201e0, 0x8ee201e0, 0x8ee30e10,
+0x8ee20e18, 0x1062ffc2, 0x26ed0e18, 0x8ee60e18,
+0x8ee30e18, 0x21140, 0x24420e20, 0x2e28021,
+0x24630001, 0x306b01ff, 0x96e2046a, 0x30420010,
+0x10400019, 0x0, 0x9642000c, 0x340f8100,
+0x144f0015, 0x0, 0x3c020001, 0x571021,
+0x904283c0, 0x14400010, 0x0, 0x9642000e,
+0xa6020016, 0x8e420008, 0x8e430004, 0x8e440000,
+0x2694fffc, 0xae42000c, 0xae430008, 0xae440004,
+0x9602000e, 0x26730004, 0x240f0001, 0xa3af0037,
+0x34420200, 0xa602000e, 0x8e020000, 0x8e030004,
+0x3c040001, 0x34843800, 0x306a0007, 0x26a9823,
+0x3641021, 0x262102b, 0x10400005, 0x28aa021,
+0x2641023, 0x3621823, 0x3c020020, 0x439823,
+0x26820007, 0x2404fff8, 0x9603000a, 0x446024,
+0x6a1821, 0x6c102b, 0x10400002, 0x1803821,
+0x603821, 0xae130018, 0x8f880120, 0x24e20007,
+0x443824, 0x27623800, 0x25090020, 0x122102b,
+0x50400001, 0x27693000, 0x8f820128, 0x11220004,
+0x0, 0x8f820124, 0x15220007, 0x1401821,
+0x8ee201a4, 0x8821, 0x24420001, 0xaee201a4,
+0x8003250, 0x8ee201a4, 0x8e040000, 0x8e050004,
+0x1021, 0xad130008, 0xa507000e, 0xad160018,
+0xad06001c, 0xa3302b, 0xa32823, 0x822023,
+0x862023, 0xad040000, 0xad050004, 0x8ee204c0,
+0xad020010, 0xaf890120, 0x92e24e20, 0x14400033,
+0x24110001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x1456001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x10550007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x800323d, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x8003250, 0x0, 0x8ee24e30, 0x24420001,
+0x50550003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac960000, 0xac9e0004, 0x16200018,
+0x3c050006, 0x8e020018, 0x3c040001, 0x24845070,
+0xafa20010, 0x8e020000, 0x8e030004, 0x34a5f009,
+0x2003021, 0xc002407, 0xafa30014, 0x93a20037,
+0x10400216, 0x340f8100, 0x8e420004, 0x8e430008,
+0x8e44000c, 0xa64f000c, 0xae420000, 0xae430004,
+0xae440008, 0x96020016, 0x8003474, 0xa642000e,
+0x14ec0168, 0x28a1823, 0x960c000a, 0x9603000e,
+0x28a1023, 0xa602000a, 0x34620004, 0xa602000e,
+0x8f880120, 0x27623800, 0x25090020, 0x122102b,
+0x14400002, 0x306affff, 0x27693000, 0x8f820128,
+0x11220004, 0x0, 0x8f820124, 0x15220007,
+0x24040020, 0x8ee201a4, 0x8821, 0x24420001,
+0xaee201a4, 0x80032ce, 0x8ee201a4, 0x8ee5724c,
+0x8ee60490, 0x8ee70494, 0xa504000e, 0x24040004,
+0xad100008, 0xad040018, 0x52940, 0xa01821,
+0x1021, 0xe33821, 0xe3202b, 0xc23021,
+0xc43021, 0xad060000, 0xad070004, 0x8ee2724c,
+0xad02001c, 0x8ee204c4, 0xad020010, 0xaf890120,
+0x92e24e20, 0x14400033, 0x24110001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c820000,
+0x1456001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x0, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee34e30, 0x24420001,
+0x10550007, 0x0, 0x8ee24e34, 0x24420001,
+0x10620005, 0x0, 0x80032bb, 0x0,
+0x14600005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400010, 0xac800000, 0x80032ce, 0x0,
+0x8ee24e30, 0x24420001, 0x50550003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0xac960000,
+0xac9e0004, 0x1620000d, 0x0, 0xa60c000a,
+0xa60a000e, 0x8f820100, 0xafa20010, 0x8f820104,
+0x3c040001, 0x2484507c, 0x3c050006, 0xafa20014,
+0x8ee6724c, 0x800343f, 0x34a5f00b, 0x3c010001,
+0x370821, 0xa02083c0, 0xadab0000, 0x8ee201d8,
+0x8ee3724c, 0x2442ffff, 0xaee201d8, 0x8ee201d8,
+0x24630001, 0x306307ff, 0x26e25244, 0x15a20006,
+0xaee3724c, 0x8ee201d0, 0x2442ffff, 0xaee201d0,
+0x80032f3, 0x8ee201d0, 0x8ee201cc, 0x2442ffff,
+0xaee201cc, 0x8ee201cc, 0x8f420240, 0x10400073,
+0x0, 0x8ee20e1c, 0x24420001, 0xaee20e1c,
+0x8f430240, 0x43102b, 0x14400176, 0xa021,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8821, 0x24420001, 0xaee201a4,
+0x8003353, 0x8ee201a4, 0x8ee2724c, 0xac62001c,
+0x8ee404a8, 0x8ee504ac, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400033, 0x24110001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x144e001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10550007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8003340,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x8003353,
+0x0, 0x8ee24e30, 0x24420001, 0x50550003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac8e0000, 0xac9e0004, 0x5620000d, 0x24110001,
+0x8ee2724c, 0x3c040001, 0x24845088, 0xafa00014,
+0xafa20010, 0x8ee6724c, 0x8f470280, 0x3c050009,
+0x34a5f008, 0xc002407, 0xafae0048, 0x8fae0048,
+0x56200001, 0xaee00e1c, 0x8ee20188, 0x24420001,
+0xaee20188, 0x80033cc, 0x8ee20188, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8821, 0x24420001, 0xaee201a4, 0x80033be,
+0x8ee201a4, 0x8ee2724c, 0xac62001c, 0x8ee404a8,
+0x8ee504ac, 0x2462001c, 0xac620008, 0x24020008,
+0xa462000e, 0x24020011, 0xac620018, 0xac640000,
+0xac650004, 0x8ee204c4, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400033, 0x24110001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c820000,
+0x144e001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x0, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee34e30, 0x24420001,
+0x10550007, 0x0, 0x8ee24e34, 0x24420001,
+0x10620005, 0x0, 0x80033ab, 0x0,
+0x14600005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400010, 0xac800000, 0x80033be, 0x0,
+0x8ee24e30, 0x24420001, 0x50550003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0xac8e0000,
+0xac9e0004, 0x1620000d, 0x0, 0x8ee2724c,
+0x3c040001, 0x24845088, 0xafa00014, 0xafa20010,
+0x8ee6724c, 0x8f470280, 0x3c050009, 0x34a5f008,
+0xc002407, 0xafae0048, 0x8fae0048, 0x8ee20174,
+0x24420001, 0xaee20174, 0x8ee20174, 0x8003472,
+0xa021, 0x960c000a, 0x183102b, 0x54400001,
+0x1801821, 0xa603000a, 0x8f880120, 0x27623800,
+0x25090020, 0x122102b, 0x50400001, 0x27693000,
+0x8f820128, 0x11220004, 0x0, 0x8f820124,
+0x15220007, 0x24040020, 0x8ee201a4, 0x8821,
+0x24420001, 0xaee201a4, 0x8003433, 0x8ee201a4,
+0x8ee5724c, 0x8ee60490, 0x8ee70494, 0xa504000e,
+0x24040004, 0xad100008, 0xad040018, 0x52940,
+0xa01821, 0x1021, 0xe33821, 0xe3202b,
+0xc23021, 0xc43021, 0xad060000, 0xad070004,
+0x8ee2724c, 0xad02001c, 0x8ee204c4, 0xad020010,
+0xaf890120, 0x92e24e20, 0x14400033, 0x24110001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x1456001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10550007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8003420,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x8003433,
+0x0, 0x8ee24e30, 0x24420001, 0x50550003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac960000, 0xac9e0004, 0x1620001d, 0x0,
+0xa60c000a, 0x8f820100, 0xafa20010, 0x8f820104,
+0x3c040001, 0x2484507c, 0x3c050006, 0xafa20014,
+0x8ee6724c, 0x34a5f00d, 0xc002407, 0x2003821,
+0x93a20037, 0x10400031, 0x340f8100, 0x8e420004,
+0x8e430008, 0x8e44000c, 0xa64f000c, 0xae420000,
+0xae430004, 0xae440008, 0x96020016, 0xa642000e,
+0x9602000e, 0x3042fdff, 0x8003474, 0xa602000e,
+0x8ee201d8, 0x2442ffff, 0xaee201d8, 0x8ee201d8,
+0x8ee201cc, 0x3c04001f, 0x3c010001, 0x370821,
+0xa03e83c0, 0x2442ffff, 0xaee201cc, 0x9603000a,
+0x3484ffff, 0x8ee201cc, 0x6a1821, 0x2639821,
+0x93202b, 0x10800003, 0x3c02fff5, 0x34421000,
+0x2629821, 0xadab0000, 0x8ee2724c, 0x24420001,
+0x304207ff, 0xaee2724c, 0x8f420240, 0x10400004,
+0x283a023, 0x8ee20e1c, 0x24420001, 0xaee20e1c,
+0xa3a00027, 0x1680fd29, 0x0, 0x12800024,
+0x0, 0x3c010001, 0x370821, 0xac3483c4,
+0x3c010001, 0x370821, 0xac3383c8, 0x3c010001,
+0x370821, 0xac3283cc, 0x93a20037, 0x10400008,
+0x0, 0x3c020001, 0x571021, 0x8c4283cc,
+0x24420004, 0x3c010001, 0x370821, 0xac2283cc,
+0x8ee2724c, 0x8f430280, 0x24420001, 0x304207ff,
+0x14620006, 0x0, 0x8ee201c4, 0x24420001,
+0xaee201c4, 0x80034d0, 0x8ee201c4, 0x8ee201bc,
+0x24420001, 0xaee201bc, 0x80034d0, 0x8ee201bc,
+0x97a4001e, 0x2484fffc, 0x801821, 0x8ee400c0,
+0x8ee500c4, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xaee400c0, 0xaee500c4,
+0x8faf002c, 0x24020002, 0x11e2000f, 0x29e20003,
+0x14400017, 0x24020003, 0x15e20015, 0x0,
+0x8ee200d0, 0x8ee300d4, 0x24630001, 0x2c640001,
+0x441021, 0xaee200d0, 0xaee300d4, 0x8ee200d0,
+0x80034ca, 0x8ee300d4, 0x8ee200d8, 0x8ee300dc,
+0x24630001, 0x2c640001, 0x441021, 0xaee200d8,
+0xaee300dc, 0x8ee200d8, 0x80034ca, 0x8ee300dc,
+0x8ee200c8, 0x8ee300cc, 0x24630001, 0x2c640001,
+0x441021, 0xaee200c8, 0xaee300cc, 0x8ee200c8,
+0x8ee300cc, 0x8f8300e4, 0x8f8200e0, 0x10620003,
+0x24630008, 0xaf8300e4, 0xaf8300e8, 0x8fbf0070,
+0x8fbe006c, 0x8fb60068, 0x8fb50064, 0x8fb40060,
+0x8fb3005c, 0x8fb20058, 0x8fb10054, 0x8fb00050,
+0x3e00008, 0x27bd0078, 0x27bdffb0, 0xafb50044,
+0xa821, 0xafb00030, 0x8021, 0xafbf004c,
+0xafb60048, 0xafb40040, 0xafb3003c, 0xafb20038,
+0xafb10034, 0x8ee204d4, 0x24140001, 0x30420001,
+0x1440002a, 0xb021, 0x8f8700e0, 0x8f8800c4,
+0x8f8200e8, 0xe22023, 0x2c821000, 0x50400001,
+0x24841000, 0x420c2, 0x801821, 0x8ee400c8,
+0x8ee500cc, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xaee400c8, 0xaee500cc,
+0x8f8300c8, 0x3c02000a, 0x3442efff, 0x1032023,
+0x44102b, 0x10400003, 0x3c02000a, 0x3442f000,
+0x822021, 0x801821, 0x8ee400c0, 0x8ee500c4,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0xaf8800c8,
+0xaf8700e4, 0x8003854, 0xaf8700e8, 0x3c020001,
+0x571021, 0x904283c0, 0x1040000b, 0x0,
+0x3c130001, 0x2779821, 0x8e7383c4, 0x3c110001,
+0x2378821, 0x8e3183c8, 0x3c120001, 0x2579021,
+0x80036ec, 0x8e5283cc, 0x8f8300e0, 0x8f8200e4,
+0x10430007, 0x4821, 0x8f8200e4, 0x24090001,
+0x8c430000, 0x8c440004, 0xafa30018, 0xafa4001c,
+0x1520000e, 0x3c02ffff, 0x8f8200c4, 0xafa20010,
+0x8f8200c8, 0x3c040001, 0x24845050, 0xafa20014,
+0x8f8600e0, 0x8f8700e4, 0x3c050006, 0xc002407,
+0x34a5f000, 0x8003854, 0x0, 0x8fa3001c,
+0x8fb20018, 0x3073ffff, 0x2673fffc, 0x621024,
+0x10400058, 0x2408821, 0x3c020080, 0x621024,
+0x1040000a, 0x3c040040, 0x8ee2007c, 0x24420001,
+0xaee2007c, 0x8ee2007c, 0x8ee201fc, 0x24420001,
+0xaee201fc, 0x800384e, 0x8ee201fc, 0x3c060004,
+0x3c0b0001, 0x3c0a0002, 0x3c050010, 0x3c090008,
+0x8ee20080, 0x3c080020, 0x34078000, 0x24420001,
+0xaee20080, 0x8ee20080, 0x8fa2001c, 0x441824,
+0x10660021, 0xc3102b, 0x14400007, 0x0,
+0x106b0011, 0x0, 0x106a0015, 0x0,
+0x8003596, 0x42042, 0x10650023, 0xa3102b,
+0x14400005, 0x0, 0x10690019, 0x0,
+0x8003596, 0x42042, 0x10680021, 0x0,
+0x8003596, 0x42042, 0x8ee20034, 0x24420001,
+0xaee20034, 0x8ee20034, 0x8003596, 0x42042,
+0x8ee201ec, 0x24420001, 0xaee201ec, 0x8ee201ec,
+0x8003596, 0x42042, 0x8ee201f0, 0x24420001,
+0xaee201f0, 0x8ee201f0, 0x8003596, 0x42042,
+0x8ee201f4, 0x24420001, 0xaee201f4, 0x8ee201f4,
+0x8003596, 0x42042, 0x8ee20030, 0x24420001,
+0xaee20030, 0x8ee20030, 0x8003596, 0x42042,
+0x8ee201f8, 0x24420001, 0xaee201f8, 0x8ee201f8,
+0x42042, 0x108702b7, 0x0, 0x800355b,
+0x0, 0x3c020001, 0x571021, 0x904283b2,
+0x14400084, 0x24020001, 0x3c030001, 0x771821,
+0x906383b3, 0x1462007f, 0x3c020100, 0x8e430000,
+0x621024, 0x1040006f, 0x2402ffff, 0x14620005,
+0x24100001, 0x96430004, 0x3402ffff, 0x10620075,
+0x0, 0x92e204d8, 0x14400072, 0x0,
+0x3c020001, 0x571021, 0x8c4283b4, 0x28420005,
+0x10400020, 0x3821, 0x3c020001, 0x571021,
+0x8c4283b4, 0x18400016, 0x2821, 0x96260000,
+0x520c0, 0x971021, 0x9442777e, 0x14460009,
+0x971021, 0x94437780, 0x96220002, 0x14620005,
+0x971021, 0x94437782, 0x96220004, 0x50620008,
+0x24070001, 0x3c020001, 0x571021, 0x8c4283b4,
+0x24a50001, 0xa2102a, 0x5440ffee, 0x520c0,
+0x30e200ff, 0x1040027b, 0x0, 0x8003622,
+0x0, 0x2402021, 0xc0022fe, 0x24050006,
+0x3044001f, 0x428c0, 0x2e51021, 0x9442727c,
+0x30424000, 0x1440026f, 0xb71021, 0x9443727e,
+0x96220000, 0x1462000b, 0x418c0, 0xb71021,
+0x94437280, 0x96220002, 0x14620006, 0x418c0,
+0xb71021, 0x94437282, 0x96220004, 0x10620035,
+0x418c0, 0x2e31021, 0x9442727c, 0x30428000,
+0x1440025c, 0x2e31021, 0x9448727c, 0x96270000,
+0x828c0, 0xb71021, 0x9442737e, 0x8003604,
+0x3021, 0x420c0, 0x2e41021, 0x9443737c,
+0x2e41021, 0x9448737c, 0x30638000, 0x14600010,
+0x828c0, 0xb71021, 0x9442737e, 0x1447fff5,
+0x1002021, 0xb71021, 0x94437380, 0x96220002,
+0x5462fff1, 0x420c0, 0xb71021, 0x94437382,
+0x96220004, 0x5462ffec, 0x420c0, 0x24060001,
+0x30c200ff, 0x1040023b, 0x0, 0x8003622,
+0x0, 0x97430202, 0x96420000, 0x14620235,
+0x0, 0x97430204, 0x96420002, 0x14620231,
+0x0, 0x97430206, 0x96420004, 0x1462022d,
+0x0, 0x92420000, 0x3a030001, 0x30420001,
+0x431024, 0x10400074, 0x2402ffff, 0x8e230000,
+0x14620004, 0x3402ffff, 0x96230004, 0x1062006f,
+0x24140002, 0x3c020001, 0x571021, 0x904283b2,
+0x1440006a, 0x24140003, 0x92e204d8, 0x14400067,
+0x0, 0x3c020001, 0x571021, 0x8c4283b4,
+0x28420005, 0x10400020, 0x3821, 0x3c020001,
+0x571021, 0x8c4283b4, 0x18400016, 0x2821,
+0x96260000, 0x520c0, 0x971021, 0x9442777e,
+0x14460009, 0x971021, 0x94437780, 0x96220002,
+0x14620005, 0x971021, 0x94437782, 0x96220004,
+0x50620008, 0x24070001, 0x3c020001, 0x571021,
+0x8c4283b4, 0x24a50001, 0xa2102a, 0x5440ffee,
+0x520c0, 0x30e200ff, 0x14400044, 0x24140003,
+0x800384e, 0x0, 0x2402021, 0xc0022fe,
+0x24050006, 0x3044001f, 0x428c0, 0x2e51021,
+0x9442727c, 0x30424000, 0x144001ea, 0xb71021,
+0x9443727e, 0x96220000, 0x1462000b, 0x418c0,
+0xb71021, 0x94437280, 0x96220002, 0x14620006,
+0x418c0, 0xb71021, 0x94437282, 0x96220004,
+0x10620027, 0x418c0, 0x2e31021, 0x9442727c,
+0x30428000, 0x144001d7, 0x2e31021, 0x9448727c,
+0x96270000, 0x828c0, 0xb71021, 0x9442737e,
+0x8003689, 0x3021, 0x420c0, 0x2e41021,
+0x9443737c, 0x2e41021, 0x9448737c, 0x30638000,
+0x14600010, 0x828c0, 0xb71021, 0x9442737e,
+0x1447fff5, 0x1002021, 0xb71021, 0x94437380,
+0x96220002, 0x5462fff1, 0x420c0, 0xb71021,
+0x94437382, 0x96220004, 0x5462ffec, 0x420c0,
+0x24060001, 0x30c200ff, 0x104001b6, 0x0,
+0x800369c, 0x24140003, 0x24140001, 0x8f420260,
+0x53102b, 0x10400049, 0x0, 0x8f8300e4,
+0x8f8200e0, 0x10620003, 0x24630008, 0xaf8300e4,
+0xaf8300e8, 0x8ee400c0, 0x8ee500c4, 0x2601821,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0x8ee20058,
+0x24420001, 0xaee20058, 0x8ee20058, 0x8ee2007c,
+0x24420001, 0xaee2007c, 0x8ee2007c, 0x8f8200e0,
+0xafa20010, 0x8f8200e4, 0x3c040001, 0x24845058,
+0xafa20014, 0x8fa60018, 0x8fa7001c, 0x3c050006,
+0xc002407, 0x34a5f003, 0x8003854, 0x0,
+0x8ee25240, 0xafa20010, 0x8ee25244, 0x3c040001,
+0x24845064, 0xafa20014, 0x8ee60e10, 0x8ee70e18,
+0xc002407, 0x34a5f002, 0x8ee201c0, 0x24420001,
+0xaee201c0, 0x8ee20000, 0x8ee301c0, 0x2403ffbf,
+0x431024, 0x80037fc, 0xaee20000, 0x8ee25240,
+0xafa20010, 0x8ee25244, 0x3c040001, 0x24845064,
+0xafa20014, 0x8ee60e10, 0x8ee70e18, 0x3c050006,
+0xc002407, 0x34a5f002, 0x8ee201c0, 0x24420001,
+0xaee201c0, 0x80037fc, 0x8ee201c0, 0x96e20468,
+0x53102b, 0x54400001, 0x3c158000, 0x12600131,
+0x3c0c001f, 0x358cffff, 0x8ee2724c, 0x8f430280,
+0x24420001, 0x304207ff, 0x10620108, 0x0,
+0x12a00014, 0x0, 0x8ee35240, 0x8ee25244,
+0x10620009, 0x26ee5244, 0x8eeb5244, 0x8ee35244,
+0x21140, 0x24425248, 0x2e28021, 0x24630001,
+0x8003716, 0x306800ff, 0x92e27248, 0x1440ffc0,
+0x3c050006, 0x8ee201e0, 0x24420001, 0xaee201e0,
+0x8ee201e0, 0x8ee30e10, 0x8ee20e18, 0x1062ffcb,
+0x26ee0e18, 0x8eeb0e18, 0xa821, 0x8ee30e18,
+0x21140, 0x24420e20, 0x2e28021, 0x24630001,
+0x306801ff, 0x96e2046a, 0x30420010, 0x10400017,
+0x34028100, 0x9643000c, 0x14620014, 0x0,
+0x3c020001, 0x571021, 0x904283c0, 0x1440000f,
+0x0, 0x9642000e, 0xa6020016, 0x8e420008,
+0x8e430004, 0x8e440000, 0x2673fffc, 0xae42000c,
+0xae430008, 0xae440004, 0x9602000e, 0x26310004,
+0x24160001, 0x34420200, 0xa602000e, 0x9603000a,
+0x2605021, 0x73102b, 0x10400002, 0x2606821,
+0x605021, 0x2d42003d, 0x1040002a, 0x3821,
+0x9623000c, 0x24020800, 0x54620027, 0xae110018,
+0x3c020001, 0x571021, 0x904283c0, 0x54400022,
+0xae110018, 0x26220017, 0x182102b, 0x10400013,
+0x0, 0x3c02fff5, 0x511021, 0x90421017,
+0x38430006, 0x2c630001, 0x38420011, 0x2c420001,
+0x621825, 0x10600013, 0x26220010, 0x182102b,
+0x1040000e, 0x0, 0x3c07fff5, 0xf13821,
+0x94e71010, 0x8003762, 0x24e7000e, 0x92220017,
+0x38430006, 0x2c630001, 0x38420011, 0x2c420001,
+0x621825, 0x50600004, 0xae110018, 0x96270010,
+0x24e7000e, 0xae110018, 0x3c020001, 0x571021,
+0x904283c0, 0x2102b, 0x14e00002, 0x24ec0,
+0x1403821, 0x8f830120, 0x27623800, 0x24660020,
+0xc2102b, 0x50400001, 0x27663000, 0x8f820128,
+0x10c20004, 0x0, 0x8f820124, 0x14c20007,
+0x2402000b, 0x8ee201a4, 0x4821, 0x24420001,
+0xaee201a4, 0x80037c3, 0x8ee201a4, 0x8e040000,
+0x8e050004, 0xac620018, 0x1751025, 0x491025,
+0xac710008, 0xa467000e, 0xac62001c, 0xac640000,
+0xac650004, 0x8ee204c0, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400038, 0x24090001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020007, 0x14620020, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001c, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee34e34, 0x8ee54e30,
+0x24020040, 0x24630001, 0x10620007, 0x0,
+0x8ee24e34, 0x24420001, 0x10a20005, 0x0,
+0x80037ad, 0x0, 0x14a00005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x80037c3, 0x0, 0x8ee24e30, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020007, 0xac820000,
+0x24020001, 0xac820004, 0x15200018, 0x3c050006,
+0x8e020018, 0x3c040001, 0x24845070, 0xafa20010,
+0x8e020000, 0x8e030004, 0x34a5f009, 0x2003021,
+0xc002407, 0xafa30014, 0x32c200ff, 0x1040002b,
+0x34028100, 0x8e430004, 0x8e440008, 0x8e45000c,
+0xa642000c, 0xae430000, 0xae440004, 0xae450008,
+0x96020016, 0x80037fc, 0xa642000e, 0x154d000a,
+0x0, 0x9602000e, 0xa613000a, 0x34420004,
+0xa602000e, 0x3c010001, 0x370821, 0xa02083c0,
+0x80037fa, 0x9821, 0x9604000a, 0x93102b,
+0x10400002, 0x2601821, 0x801821, 0x24020001,
+0xa603000a, 0x3c010001, 0x370821, 0xa02283c0,
+0x9604000a, 0x2248821, 0x191102b, 0x10400003,
+0x3c02fff5, 0x34421000, 0x2228821, 0x2649823,
+0xa821, 0x1660fef4, 0xadc80000, 0x12600021,
+0x32c200ff, 0x3c010001, 0x370821, 0xac3383c4,
+0x3c010001, 0x370821, 0xac3183c8, 0x3c010001,
+0x370821, 0x10400008, 0xac3283cc, 0x3c020001,
+0x571021, 0x8c4283cc, 0x24420004, 0x3c010001,
+0x370821, 0xac2283cc, 0x8ee2724c, 0x8f430280,
+0x24420001, 0x14620006, 0x0, 0x8ee201c4,
+0x24420001, 0xaee201c4, 0x8003854, 0x8ee201c4,
+0x8ee201bc, 0x24420001, 0xaee201bc, 0x8003854,
+0x8ee201bc, 0x97a4001e, 0x2484fffc, 0x801821,
+0x8ee400c0, 0x8ee500c4, 0x1021, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0x24020002,
+0xaee400c0, 0xaee500c4, 0x1282000f, 0x2a820003,
+0x14400017, 0x24020003, 0x16820015, 0x0,
+0x8ee200d0, 0x8ee300d4, 0x24630001, 0x2c640001,
+0x441021, 0xaee200d0, 0xaee300d4, 0x8ee200d0,
+0x800384e, 0x8ee300d4, 0x8ee200d8, 0x8ee300dc,
+0x24630001, 0x2c640001, 0x441021, 0xaee200d8,
+0xaee300dc, 0x8ee200d8, 0x800384e, 0x8ee300dc,
+0x8ee200c8, 0x8ee300cc, 0x24630001, 0x2c640001,
+0x441021, 0xaee200c8, 0xaee300cc, 0x8ee200c8,
+0x8ee300cc, 0x8f8300e4, 0x8f8200e0, 0x10620003,
+0x24630008, 0xaf8300e4, 0xaf8300e8, 0x8fbf004c,
+0x8fb60048, 0x8fb50044, 0x8fb40040, 0x8fb3003c,
+0x8fb20038, 0x8fb10034, 0x8fb00030, 0x3e00008,
+0x27bd0050, 0x27bdff90, 0xafb60060, 0xb021,
+0xafbf0068, 0xafbe0064, 0xafb5005c, 0xafb40058,
+0xafb30054, 0xafb20050, 0xafb1004c, 0xafb00048,
+0x8ee204d4, 0x8821, 0x24150001, 0x30420001,
+0x1440002a, 0xa3a0002f, 0x8f8700e0, 0x8f8800c4,
+0x8f8200e8, 0xe22023, 0x2c821000, 0x50400001,
+0x24841000, 0x420c2, 0x801821, 0x8ee400c8,
+0x8ee500cc, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xaee400c8, 0xaee500cc,
+0x8f8300c8, 0x3c02000a, 0x3442efff, 0x1032023,
+0x44102b, 0x10400003, 0x3c02000a, 0x3442f000,
+0x822021, 0x801821, 0x8ee400c0, 0x8ee500c4,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0xaf8800c8,
+0xaf8700e4, 0x8003c5f, 0xaf8700e8, 0x3c020001,
+0x571021, 0x904283c0, 0x1040000b, 0x0,
+0x3c130001, 0x2779821, 0x8e7383c4, 0x3c100001,
+0x2178021, 0x8e1083c8, 0x3c120001, 0x2579021,
+0x8003a5d, 0x8e5283cc, 0x8f8300e0, 0x8f8200e4,
+0x10430007, 0x3821, 0x8f8200e4, 0x24070001,
+0x8c430000, 0x8c440004, 0xafa30018, 0xafa4001c,
+0x14e0000e, 0x3c02ffff, 0x8f8200c4, 0xafa20010,
+0x8f8200c8, 0x3c040001, 0x24845094, 0xafa20014,
+0x8f8600e0, 0x8f8700e4, 0x3c050006, 0xc002407,
+0x34a5f200, 0x8003c5f, 0x0, 0x8fa3001c,
+0x8fb20018, 0x3073ffff, 0x2673fffc, 0x621024,
+0x10400058, 0x2408021, 0x3c020080, 0x621024,
+0x1040000a, 0x3c040040, 0x8ee2007c, 0x24420001,
+0xaee2007c, 0x8ee2007c, 0x8ee201fc, 0x24420001,
+0xaee201fc, 0x8003c59, 0x8ee201fc, 0x3c060004,
+0x3c0b0001, 0x3c0a0002, 0x3c050010, 0x3c090008,
+0x8ee20080, 0x3c080020, 0x34078000, 0x24420001,
+0xaee20080, 0x8ee20080, 0x8fa2001c, 0x441824,
+0x10660021, 0xc3102b, 0x14400007, 0x0,
+0x106b0011, 0x0, 0x106a0015, 0x0,
+0x800391a, 0x42042, 0x10650023, 0xa3102b,
+0x14400005, 0x0, 0x10690019, 0x0,
+0x800391a, 0x42042, 0x10680021, 0x0,
+0x800391a, 0x42042, 0x8ee20034, 0x24420001,
+0xaee20034, 0x8ee20034, 0x800391a, 0x42042,
+0x8ee201ec, 0x24420001, 0xaee201ec, 0x8ee201ec,
+0x800391a, 0x42042, 0x8ee201f0, 0x24420001,
+0xaee201f0, 0x8ee201f0, 0x800391a, 0x42042,
+0x8ee201f4, 0x24420001, 0xaee201f4, 0x8ee201f4,
+0x800391a, 0x42042, 0x8ee20030, 0x24420001,
+0xaee20030, 0x8ee20030, 0x800391a, 0x42042,
+0x8ee201f8, 0x24420001, 0xaee201f8, 0x8ee201f8,
+0x42042, 0x1087033e, 0x0, 0x80038df,
+0x0, 0x3c020001, 0x571021, 0x904283b2,
+0x14400084, 0x24020001, 0x3c030001, 0x771821,
+0x906383b3, 0x1462007f, 0x3c020100, 0x8e430000,
+0x621024, 0x1040006f, 0x2402ffff, 0x14620005,
+0x24110001, 0x96430004, 0x3402ffff, 0x10620075,
+0x0, 0x92e204d8, 0x14400072, 0x0,
+0x3c020001, 0x571021, 0x8c4283b4, 0x28420005,
+0x10400020, 0x3821, 0x3c020001, 0x571021,
+0x8c4283b4, 0x18400016, 0x2821, 0x96060000,
+0x520c0, 0x971021, 0x9442777e, 0x14460009,
+0x971021, 0x94437780, 0x96020002, 0x14620005,
+0x971021, 0x94437782, 0x96020004, 0x50620008,
+0x24070001, 0x3c020001, 0x571021, 0x8c4283b4,
+0x24a50001, 0xa2102a, 0x5440ffee, 0x520c0,
+0x30e200ff, 0x10400302, 0x0, 0x80039a6,
+0x0, 0x2402021, 0xc0022fe, 0x24050006,
+0x3044001f, 0x428c0, 0x2e51021, 0x9442727c,
+0x30424000, 0x144002f6, 0xb71021, 0x9443727e,
+0x96020000, 0x1462000b, 0x418c0, 0xb71021,
+0x94437280, 0x96020002, 0x14620006, 0x418c0,
+0xb71021, 0x94437282, 0x96020004, 0x10620035,
+0x418c0, 0x2e31021, 0x9442727c, 0x30428000,
+0x144002e3, 0x2e31021, 0x944d727c, 0x96070000,
+0xd28c0, 0xb71021, 0x9442737e, 0x8003988,
+0x3021, 0x420c0, 0x2e41021, 0x9443737c,
+0x2e41021, 0x944d737c, 0x30638000, 0x14600010,
+0xd28c0, 0xb71021, 0x9442737e, 0x1447fff5,
+0x1a02021, 0xb71021, 0x94437380, 0x96020002,
+0x5462fff1, 0x420c0, 0xb71021, 0x94437382,
+0x96020004, 0x5462ffec, 0x420c0, 0x24060001,
+0x30c200ff, 0x104002c2, 0x0, 0x80039a6,
+0x0, 0x97430202, 0x96420000, 0x146202bc,
+0x0, 0x97430204, 0x96420002, 0x146202b8,
+0x0, 0x97430206, 0x96420004, 0x146202b4,
+0x0, 0x92420000, 0x3a230001, 0x30420001,
+0x431024, 0x10400074, 0x2402ffff, 0x8e030000,
+0x14620004, 0x3402ffff, 0x96030004, 0x1062006f,
+0x24150002, 0x3c020001, 0x571021, 0x904283b2,
+0x1440006a, 0x24150003, 0x92e204d8, 0x14400067,
+0x0, 0x3c020001, 0x571021, 0x8c4283b4,
+0x28420005, 0x10400020, 0x3821, 0x3c020001,
+0x571021, 0x8c4283b4, 0x18400016, 0x2821,
+0x96060000, 0x520c0, 0x971021, 0x9442777e,
+0x14460009, 0x971021, 0x94437780, 0x96020002,
+0x14620005, 0x971021, 0x94437782, 0x96020004,
+0x50620008, 0x24070001, 0x3c020001, 0x571021,
+0x8c4283b4, 0x24a50001, 0xa2102a, 0x5440ffee,
+0x520c0, 0x30e200ff, 0x14400044, 0x24150003,
+0x8003c59, 0x0, 0x2402021, 0xc0022fe,
+0x24050006, 0x3044001f, 0x428c0, 0x2e51021,
+0x9442727c, 0x30424000, 0x14400271, 0xb71021,
+0x9443727e, 0x96020000, 0x1462000b, 0x418c0,
+0xb71021, 0x94437280, 0x96020002, 0x14620006,
+0x418c0, 0xb71021, 0x94437282, 0x96020004,
+0x10620027, 0x418c0, 0x2e31021, 0x9442727c,
+0x30428000, 0x1440025e, 0x2e31021, 0x944d727c,
+0x96070000, 0xd28c0, 0xb71021, 0x9442737e,
+0x8003a0d, 0x3021, 0x420c0, 0x2e41021,
+0x9443737c, 0x2e41021, 0x944d737c, 0x30638000,
+0x14600010, 0xd28c0, 0xb71021, 0x9442737e,
+0x1447fff5, 0x1a02021, 0xb71021, 0x94437380,
+0x96020002, 0x5462fff1, 0x420c0, 0xb71021,
+0x94437382, 0x96020004, 0x5462ffec, 0x420c0,
+0x24060001, 0x30c200ff, 0x1040023d, 0x0,
+0x8003a20, 0x24150003, 0x24150001, 0x8f420260,
+0x53102b, 0x10400036, 0x0, 0x8f8300e4,
+0x8f8200e0, 0x10620003, 0x24630008, 0xaf8300e4,
+0xaf8300e8, 0x8ee400c0, 0x8ee500c4, 0x2601821,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0x8ee20058,
+0x24420001, 0xaee20058, 0x8ee20058, 0x8ee2007c,
+0x24420001, 0xaee2007c, 0x8ee2007c, 0x8f8200e0,
+0xafa20010, 0x8f8200e4, 0x3c040001, 0x248450a0,
+0xafa20014, 0x8fa60018, 0x8fa7001c, 0x3c050006,
+0xc002407, 0x34a5f203, 0x8003c5f, 0x0,
+0x8ee25240, 0xafa20010, 0x8ee25244, 0x3c040001,
+0x248450ac, 0xafa20014, 0x8ee60e10, 0x8ee70e18,
+0x3c050006, 0xc002407, 0x34a5f202, 0x8ee201c0,
+0x24420001, 0xaee201c0, 0x8003c06, 0x8ee201c0,
+0x96e20468, 0x53102b, 0x54400001, 0x3c168000,
+0x126001cb, 0x3c0e001f, 0x35ceffff, 0x3c0ffff5,
+0x35ef1000, 0x241e0040, 0x8ee2724c, 0x8f430280,
+0x24420001, 0x304207ff, 0x1062019e, 0x0,
+0x12c00012, 0x0, 0x8ee35240, 0x8ee25244,
+0x1062000a, 0x26f85244, 0x8ef45244, 0xafb80024,
+0x8ee35244, 0x21140, 0x24425248, 0x2e28821,
+0x24630001, 0x8003a89, 0x306d00ff, 0x8ee201e0,
+0x24420001, 0xaee201e0, 0x8ee201e0, 0x8ee30e10,
+0x8ee20e18, 0x1062ffca, 0x26f80e18, 0x8ef40e18,
+0xb021, 0xafb80024, 0x8ee30e18, 0x21140,
+0x24420e20, 0x2e28821, 0x24630001, 0x306d01ff,
+0x96e2046a, 0x30420010, 0x10400018, 0x34028100,
+0x9643000c, 0x14620015, 0x0, 0x3c020001,
+0x571021, 0x904283c0, 0x14400010, 0x0,
+0x9642000e, 0xa6220016, 0x8e420008, 0x8e430004,
+0x8e440000, 0x2673fffc, 0xae42000c, 0xae430008,
+0xae440004, 0x9622000e, 0x26100004, 0x24180001,
+0xa3b8002f, 0x34420200, 0xa622000e, 0x8e220000,
+0x8e230004, 0x3c040001, 0x34843800, 0x2003021,
+0x306a0007, 0x20a8023, 0x3641021, 0x202102b,
+0x10400005, 0x26a9821, 0x2041023, 0x3621823,
+0x3c020020, 0x438023, 0x26620007, 0x9623000a,
+0x2418fff8, 0x58c824, 0x6a1821, 0x79102b,
+0x10400002, 0x3206021, 0x606021, 0x1801821,
+0x24620007, 0x2418fff8, 0x586024, 0x26c102b,
+0x14400004, 0x1932823, 0x1832823, 0x8003ac7,
+0xc31021, 0xd31021, 0x4a2023, 0x1c4102b,
+0x54400001, 0x8f2021, 0x25420040, 0x4c102b,
+0x14400035, 0x5821, 0x94c3000c, 0x24020800,
+0x54620032, 0xae260018, 0x3c020001, 0x571021,
+0x904283c0, 0x5440002d, 0xae260018, 0x24c20017,
+0x1c2102b, 0x10400013, 0x0, 0x3c02fff5,
+0x461021, 0x90421017, 0x38430006, 0x2c630001,
+0x38420011, 0x2c420001, 0x621825, 0x10600014,
+0x24c20010, 0x1c2102b, 0x1040000e, 0x0,
+0x3c0bfff5, 0x1665821, 0x956b1010, 0x8003af8,
+0x2562000e, 0x90c20017, 0x38430006, 0x2c630001,
+0x38420011, 0x2c420001, 0x621825, 0x10600005,
+0x1601821, 0x94cb0010, 0x2562000e, 0x4a5821,
+0x1601821, 0x24620007, 0x2418fff8, 0x585824,
+0xc31021, 0x4a2023, 0x1c4102b, 0x10400002,
+0x1632823, 0x8f2021, 0xae260018, 0x3c020001,
+0x571021, 0x904283c0, 0x2102b, 0x216c0,
+0x15600002, 0xafa20044, 0x1805821, 0x30820001,
+0x10400007, 0x4021, 0x90880000, 0x24840001,
+0x1c4102b, 0x10400002, 0x24a5ffff, 0x8f2021,
+0x50a00012, 0x81c02, 0x2ca20002, 0x54400009,
+0x24a5ffff, 0x94820000, 0x24840002, 0x1024021,
+0x1c4102b, 0x10400006, 0x24a5fffe, 0x8003b25,
+0x8f2021, 0x90820000, 0x21200, 0x1024021,
+0x14a0fff2, 0x2ca20002, 0x81c02, 0x3102ffff,
+0x624021, 0x3108ffff, 0x1402821, 0x11400011,
+0x2002021, 0x2ca20002, 0x54400009, 0x24a5ffff,
+0x94820000, 0x24840002, 0x1024021, 0x1c4102b,
+0x10400006, 0x24a5fffe, 0x8003b3c, 0x8f2021,
+0x90820000, 0x21200, 0x1024021, 0x14a0fff2,
+0x2ca20002, 0x81c02, 0x3102ffff, 0x624021,
+0x81c02, 0x3102ffff, 0x8f890120, 0x624021,
+0x27623800, 0x25230020, 0x62102b, 0x14400002,
+0x3108ffff, 0x27633000, 0x8f820128, 0x10620004,
+0x0, 0x8f820124, 0x14620007, 0x1402821,
+0x8ee201a4, 0x3821, 0x24420001, 0xaee201a4,
+0x8003bcd, 0x8ee201a4, 0x8e260000, 0x8e270004,
+0x81400, 0x3448000b, 0xad300008, 0xa52b000e,
+0xad280018, 0x8fb80044, 0x2021, 0x2961025,
+0x581025, 0xad22001c, 0xe5102b, 0xe53823,
+0xc43023, 0xc23023, 0xad260000, 0xad270004,
+0x8ee204c0, 0xad220010, 0xaf830120, 0x92e24e20,
+0x1440005f, 0x24070001, 0x2502ffee, 0x2c420002,
+0x14400003, 0x24020011, 0x15020024, 0x0,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020012, 0x1462000f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062000b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x105e002a, 0x0,
+0x8003bac, 0x0, 0x8ee24e30, 0x24420001,
+0x505e0003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8003bca, 0x24020012, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020007, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x105e0007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8003bb8,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400012, 0xac800000, 0x8003bcd,
+0x0, 0x8ee24e30, 0x24420001, 0x505e0003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020007, 0xac820000, 0x24020001, 0xac820004,
+0x14e00019, 0x3c050006, 0x3c040001, 0x24845070,
+0x8e220018, 0x34a5f209, 0xafa20010, 0x8e220000,
+0x8e230004, 0x2203021, 0x1603821, 0xc002407,
+0xafa30014, 0x93a2002f, 0x1040002a, 0x34028100,
+0x8e430004, 0x8e440008, 0x8e45000c, 0xa642000c,
+0xae430000, 0xae440004, 0xae450008, 0x96220016,
+0x8003c06, 0xa642000e, 0x1599000a, 0x26a1823,
+0x9622000e, 0xa623000a, 0x34420004, 0xa622000e,
+0x3c010001, 0x370821, 0xa02083c0, 0x8003c03,
+0x9821, 0x9624000a, 0x83102b, 0x54400001,
+0x801821, 0x24020001, 0xa623000a, 0x3c010001,
+0x370821, 0xa02283c0, 0x9622000a, 0x4a1821,
+0x2038021, 0x1d0102b, 0x54400001, 0x20f8021,
+0x2639823, 0xb021, 0x8fb80024, 0x1660fe5e,
+0xaf0d0000, 0x12600022, 0x0, 0x3c010001,
+0x370821, 0xac3383c4, 0x3c010001, 0x370821,
+0xac3083c8, 0x3c010001, 0x370821, 0xac3283cc,
+0x93a2002f, 0x10400008, 0x0, 0x3c020001,
+0x571021, 0x8c4283cc, 0x24420004, 0x3c010001,
+0x370821, 0xac2283cc, 0x8f430280, 0x8ee2724c,
+0x14620006, 0x0, 0x8ee201c4, 0x24420001,
+0xaee201c4, 0x8003c5f, 0x8ee201c4, 0x8ee201bc,
+0x24420001, 0xaee201bc, 0x8003c5f, 0x8ee201bc,
+0x97a4001e, 0x2484fffc, 0x801821, 0x8ee400c0,
+0x8ee500c4, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0x24020002, 0xaee400c0,
+0xaee500c4, 0x12a2000f, 0x2aa20003, 0x14400017,
+0x24020003, 0x16a20015, 0x0, 0x8ee200d0,
+0x8ee300d4, 0x24630001, 0x2c640001, 0x441021,
+0xaee200d0, 0xaee300d4, 0x8ee200d0, 0x8003c59,
+0x8ee300d4, 0x8ee200d8, 0x8ee300dc, 0x24630001,
+0x2c640001, 0x441021, 0xaee200d8, 0xaee300dc,
+0x8ee200d8, 0x8003c59, 0x8ee300dc, 0x8ee200c8,
+0x8ee300cc, 0x24630001, 0x2c640001, 0x441021,
+0xaee200c8, 0xaee300cc, 0x8ee200c8, 0x8ee300cc,
+0x8f8300e4, 0x8f8200e0, 0x10620003, 0x24630008,
+0xaf8300e4, 0xaf8300e8, 0x8fbf0068, 0x8fbe0064,
+0x8fb60060, 0x8fb5005c, 0x8fb40058, 0x8fb30054,
+0x8fb20050, 0x8fb1004c, 0x8fb00048, 0x3e00008,
+0x27bd0070, 0x27bdffe0, 0xafbf0018, 0x8ee30e14,
+0x8ee20e0c, 0x10620074, 0x0, 0x8ee30e0c,
+0x8ee20e14, 0x622023, 0x4820001, 0x24840200,
+0x8ee30e18, 0x8ee20e14, 0x43102b, 0x14400004,
+0x24020200, 0x8ee30e14, 0x8003c81, 0x431823,
+0x8ee20e18, 0x8ee30e14, 0x431023, 0x2443ffff,
+0x804821, 0x69102a, 0x54400001, 0x604821,
+0x8f870100, 0x27623000, 0x24e80020, 0x102102b,
+0x50400001, 0x27682800, 0x8f820108, 0x11020004,
+0x0, 0x8f820104, 0x15020007, 0x1021,
+0x8ee201a8, 0x2021, 0x24420001, 0xaee201a8,
+0x8003cc3, 0x8ee201a8, 0x8ee40e14, 0x42140,
+0x801821, 0x8ee40460, 0x8ee50464, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0xace40000,
+0xace50004, 0x8ee30e14, 0x91140, 0xa4e2000e,
+0x24020002, 0xace20018, 0x31940, 0x24630e20,
+0x2e31021, 0xace20008, 0x8ee20e14, 0xace2001c,
+0x8ee204cc, 0xace20010, 0xaf880100, 0x92e204ec,
+0x14400011, 0x24040001, 0x8ee24e28, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e28,
+0x24420001, 0xaee24e28, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e21821, 0x24020002, 0xac620000,
+0x24020001, 0xac620004, 0x1480000e, 0x24030040,
+0x8ee20e14, 0xafa20010, 0x8ee20e18, 0x3c050007,
+0xafa20014, 0x8ee60e0c, 0x8ee70e10, 0x3c040001,
+0x248450b4, 0xc002407, 0x34a5f001, 0x8003ce1,
+0x0, 0x8ee20500, 0x24420001, 0x50430003,
+0x1021, 0x8ee20500, 0x24420001, 0xaee20500,
+0x8ee20500, 0x21080, 0x571021, 0xac490508,
+0x8ee20e14, 0x491021, 0x304201ff, 0xaee20e14,
+0x8ee30e14, 0x8ee20e0c, 0x14620005, 0x0,
+0x8f820060, 0x2403fdff, 0x431024, 0xaf820060,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x27bdffe0,
+0xafbf0018, 0x8ee3523c, 0x8ee25238, 0x10620074,
+0x0, 0x8ee35238, 0x8ee2523c, 0x622023,
+0x4820001, 0x24840100, 0x8ee35244, 0x8ee2523c,
+0x43102b, 0x14400004, 0x24020100, 0x8ee3523c,
+0x8003d03, 0x431823, 0x8ee25244, 0x8ee3523c,
+0x431023, 0x2443ffff, 0x804821, 0x69102a,
+0x54400001, 0x604821, 0x8f870100, 0x27623000,
+0x24e80020, 0x102102b, 0x50400001, 0x27682800,
+0x8f820108, 0x11020004, 0x0, 0x8f820104,
+0x15020007, 0x1021, 0x8ee201a8, 0x2021,
+0x24420001, 0xaee201a8, 0x8003d45, 0x8ee201a8,
+0x8ee4523c, 0x42140, 0x801821, 0x8ee40470,
+0x8ee50474, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee3523c,
+0x91140, 0xa4e2000e, 0x24020003, 0xace20018,
+0x31940, 0x24635248, 0x2e31021, 0xace20008,
+0x8ee2523c, 0xace2001c, 0x8ee204cc, 0xace20010,
+0xaf880100, 0x92e204ec, 0x14400011, 0x24040001,
+0x8ee24e28, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e28, 0x24420001, 0xaee24e28,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e21821,
+0x24020003, 0xac620000, 0x24020001, 0xac620004,
+0x1480000e, 0x24030040, 0x8ee2523c, 0xafa20010,
+0x8ee25244, 0x3c050007, 0xafa20014, 0x8ee65238,
+0x8ee75240, 0x3c040001, 0x248450c0, 0xc002407,
+0x34a5f010, 0x8003d63, 0x0, 0x8ee20500,
+0x24420001, 0x50430003, 0x1021, 0x8ee20500,
+0x24420001, 0xaee20500, 0x8ee20500, 0x21080,
+0x571021, 0xac490508, 0x8ee2523c, 0x491021,
+0x304200ff, 0xaee2523c, 0x8ee3523c, 0x8ee25238,
+0x14620005, 0x0, 0x8f820060, 0x2403feff,
+0x431024, 0xaf820060, 0x8fbf0018, 0x3e00008,
+0x27bd0020, 0x8f820120, 0x8ee34e34, 0x8f820124,
+0x8f860128, 0x24020040, 0x24630001, 0x50620003,
+0x1021, 0x8ee24e34, 0x24420001, 0xaee24e34,
+0x8ee24e34, 0x8ee44e34, 0x8ee34e30, 0x210c0,
+0x24425038, 0x14830007, 0x2e22821, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8003d96,
+0xaca00000, 0x8ee24e34, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e34, 0x24420001,
+0x210c0, 0x24425038, 0x2e22821, 0x8ca20004,
+0x8f830128, 0x21140, 0x621821, 0xaf830128,
+0xaca00000, 0x8cc20018, 0x2443fffe, 0x2c620012,
+0x10400008, 0x31080, 0x3c010001, 0x220821,
+0x8c2250d0, 0x400008, 0x0, 0x24020001,
+0xaee24e24, 0x3e00008, 0x0, 0x27bdffc8,
+0xafbf0030, 0xafb5002c, 0xafb40028, 0xafb30024,
+0xafb20020, 0xafb1001c, 0xafb00018, 0x8f830128,
+0x8f820124, 0x106202b0, 0x9821, 0x3c11001f,
+0x3631ffff, 0x3c12fff5, 0x36521000, 0x24150012,
+0x24140040, 0x8f8c0128, 0x8f820128, 0x24420020,
+0xaf820128, 0x9182001b, 0x8f830128, 0x2443fffe,
+0x2c620012, 0x1040029c, 0x31080, 0x3c010001,
+0x220821, 0x8c225128, 0x400008, 0x0,
+0x8f420218, 0x30420100, 0x10400007, 0x0,
+0x95830016, 0x95820018, 0x621823, 0x31402,
+0x431021, 0xa5820016, 0x8d82001c, 0x3c038000,
+0x3044ffff, 0x436824, 0x3c030800, 0x431824,
+0x11a00004, 0xad84001c, 0x41140, 0x8003ddc,
+0x24425248, 0x41140, 0x24420e20, 0x2e25821,
+0x9562000e, 0x3042fffc, 0x10600004, 0xa562000e,
+0x95840016, 0x8003ec4, 0x0, 0x8d690018,
+0x4021, 0x952a0000, 0x25290002, 0x95270000,
+0x25290002, 0x95260000, 0x25290002, 0x95250000,
+0x25290002, 0x95240000, 0x25290002, 0x95230000,
+0x25290002, 0x95220000, 0x25290002, 0x1475021,
+0x1465021, 0x1455021, 0x1445021, 0x1435021,
+0x1425021, 0xa1c02, 0x3142ffff, 0x625021,
+0xa1c02, 0x3142ffff, 0x625021, 0x96e2046a,
+0x314effff, 0x30420002, 0x10400044, 0x5021,
+0x25220014, 0x222102b, 0x10400014, 0x1201821,
+0x2405000a, 0x2021, 0x223102b, 0x54400001,
+0x721821, 0x94620000, 0x24630002, 0x24a5ffff,
+0x14a0fff9, 0x822021, 0x41c02, 0x3082ffff,
+0x622021, 0x41402, 0x3083ffff, 0x431021,
+0x3042ffff, 0x8003e37, 0x1425021, 0x952a0000,
+0x25290002, 0x95280000, 0x25290002, 0x95270000,
+0x25290002, 0x95260000, 0x25290002, 0x95250000,
+0x25290002, 0x95230000, 0x25290002, 0x95220000,
+0x25290002, 0x95240000, 0x25290002, 0x1485021,
+0x1475021, 0x1465021, 0x1455021, 0x1435021,
+0x1425021, 0x95220000, 0x95230002, 0x1445021,
+0x1425021, 0x1435021, 0xa1c02, 0x3142ffff,
+0x625021, 0xa1c02, 0x3142ffff, 0x625021,
+0x3148ffff, 0x51000001, 0x3408ffff, 0x8d620018,
+0x9443000c, 0x24020800, 0x54620005, 0xa5680010,
+0x9562000e, 0x34420002, 0xa562000e, 0xa5680010,
+0x96e2046a, 0x2821, 0x30420008, 0x14400056,
+0x3021, 0x8d630018, 0x24620024, 0x222102b,
+0x10400034, 0x24690010, 0x229102b, 0x54400001,
+0x1324821, 0x95250000, 0x24690014, 0x229102b,
+0x10400002, 0x24a5ffec, 0x1324821, 0x95220000,
+0x30420fff, 0x14400003, 0x25290002, 0x8003e64,
+0x24130001, 0x9821, 0xa03021, 0x229102b,
+0x54400001, 0x1324821, 0x91220001, 0x25290002,
+0xa22821, 0x229102b, 0x54400001, 0x1324821,
+0x25290002, 0x229102b, 0x54400001, 0x1324821,
+0x95220000, 0x25290002, 0xa22821, 0x229102b,
+0x54400001, 0x1324821, 0x95220000, 0x25290002,
+0xa22821, 0x229102b, 0x54400001, 0x1324821,
+0x95220000, 0x25290002, 0xa22821, 0x229102b,
+0x54400001, 0x1324821, 0x95220000, 0x8003e9d,
+0xa22821, 0x94650010, 0x94620014, 0x24690016,
+0x30420fff, 0x14400003, 0x24a5ffec, 0x8003e90,
+0x24130001, 0x9821, 0xa03021, 0x91230001,
+0x25290004, 0x95220000, 0x25290002, 0x95240000,
+0x25290002, 0xa32821, 0xa22821, 0x95220000,
+0x95230002, 0xa42821, 0xa22821, 0xa32821,
+0x51c02, 0x30a2ffff, 0x622821, 0x51c02,
+0x30a2ffff, 0x622821, 0x96e2046a, 0x30420001,
+0x1040001e, 0x2021, 0x95820016, 0x4e2023,
+0x41402, 0x822021, 0x326200ff, 0x50400002,
+0x862021, 0x852021, 0x41402, 0x822021,
+0x3084ffff, 0x50800001, 0x3404ffff, 0x8d620018,
+0x24430017, 0x223102b, 0x54400001, 0x721821,
+0x90620000, 0x38430011, 0x2c630001, 0x38420006,
+0x2c420001, 0x621825, 0x10600004, 0x0,
+0x9562000e, 0x34420001, 0xa562000e, 0x9562000e,
+0x240a0002, 0x30420004, 0x10400002, 0xa5640012,
+0x240a0004, 0x8f880120, 0x27623800, 0x25090020,
+0x122102b, 0x50400001, 0x27693000, 0x8f820128,
+0x11220004, 0x0, 0x8f820124, 0x15220007,
+0x24040020, 0x8ee201a4, 0x8021, 0x24420001,
+0xaee201a4, 0x8003f53, 0x8ee201a4, 0x8ee5724c,
+0x8ee60490, 0x8ee70494, 0xad0b0008, 0xa504000e,
+0xad0a0018, 0x52940, 0xa01821, 0x1021,
+0xe33821, 0xe3202b, 0xc23021, 0xc43021,
+0xad060000, 0xad070004, 0x8ee2724c, 0x4d1025,
+0xad02001c, 0x8ee204c4, 0xad020010, 0xaf890120,
+0x92e24e20, 0x14400060, 0x24100001, 0x2543ffee,
+0x2c630002, 0x39420011, 0x2c420001, 0x621825,
+0x10600024, 0x0, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x1455000f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062000b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x1054002b,
+0x0, 0x8003f32, 0x0, 0x8ee24e30,
+0x24420001, 0x50540003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020001, 0x8003f52,
+0xac950000, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c830000, 0x24020007, 0x1462001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x10540007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x8003f3e, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400012,
+0xac800000, 0x8003f53, 0x0, 0x8ee24e30,
+0x24420001, 0x50540003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020007, 0xac820000,
+0x24020001, 0xac820004, 0x1600000d, 0x0,
+0x8f820120, 0x3c040001, 0x24845118, 0xafa00014,
+0xafa20010, 0x8d86001c, 0x8f870124, 0x3c050008,
+0xc002407, 0x34a50001, 0x800405b, 0x0,
+0x8ee2724c, 0x24420001, 0x304207ff, 0x11a00006,
+0xaee2724c, 0x8ee201d0, 0x2442ffff, 0xaee201d0,
+0x8003f6f, 0x8ee201d0, 0x8ee201cc, 0x2442ffff,
+0xaee201cc, 0x8ee201cc, 0x8ee201d8, 0x2442ffff,
+0xaee201d8, 0x800405b, 0x8ee201d8, 0x8f420240,
+0x104000e5, 0x0, 0x8ee20e1c, 0x24420001,
+0x800405b, 0xaee20e1c, 0x9582001e, 0xad82001c,
+0x8f420240, 0x10400072, 0x0, 0x8ee20e1c,
+0x24420001, 0xaee20e1c, 0x8f430240, 0x43102b,
+0x144000d5, 0x0, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x8021,
+0x24420001, 0xaee201a4, 0x8003fde, 0x8ee201a4,
+0x8ee2724c, 0xac62001c, 0x8ee404a8, 0x8ee504ac,
+0x2462001c, 0xac620008, 0x24020008, 0xa462000e,
+0x24020011, 0xac620018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400034, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x1455001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x10540007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x8003fca, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400011,
+0xac800000, 0x8003fde, 0x0, 0x8ee24e30,
+0x24420001, 0x50540003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020001, 0xac950000,
+0xac820004, 0x5600000b, 0x24100001, 0x8ee2724c,
+0x3c040001, 0x24845088, 0xafa00014, 0xafa20010,
+0x8ee6724c, 0x8f470280, 0x3c050009, 0xc002407,
+0x34a5f008, 0x56000001, 0xaee00e1c, 0x8ee20188,
+0x24420001, 0xaee20188, 0x8004054, 0x8ee20188,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8021, 0x24420001, 0xaee201a4,
+0x8004048, 0x8ee201a4, 0x8ee2724c, 0xac62001c,
+0x8ee404a8, 0x8ee504ac, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400034, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x1455001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10540007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8004034,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400011, 0xac800000, 0x8004048,
+0x0, 0x8ee24e30, 0x24420001, 0x50540003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020001, 0xac950000, 0xac820004, 0x1600000b,
+0x0, 0x8ee2724c, 0x3c040001, 0x24845088,
+0xafa00014, 0xafa20010, 0x8ee6724c, 0x8f470280,
+0x3c050009, 0xc002407, 0x34a5f008, 0x8ee20174,
+0x24420001, 0xaee20174, 0x800405b, 0x8ee20174,
+0x24020001, 0xaee24e24, 0x8f830128, 0x8f820124,
+0x1462fd58, 0x0, 0x8fbf0030, 0x8fb5002c,
+0x8fb40028, 0x8fb30024, 0x8fb20020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0038, 0x27bdffe8,
+0x27840208, 0x27450200, 0x24060008, 0xafbf0014,
+0xc00249e, 0xafb00010, 0x2021, 0x24100001,
+0x2402241f, 0xaf900210, 0xaf900200, 0xaf800204,
+0xaf820214, 0x8f460248, 0x24030004, 0x3c020040,
+0x3c010001, 0xac235484, 0x3c010001, 0xac235488,
+0x3c010001, 0xac20553c, 0x3c010001, 0xac225480,
+0x3c010001, 0xac235488, 0xc004fa8, 0x24050004,
+0xc004784, 0x0, 0x8ee20000, 0x3c03feff,
+0x3463fffd, 0x431024, 0xaee20000, 0x3c023c00,
+0xaf82021c, 0x3c010001, 0x370821, 0xac3083ac,
+0x8fbf0014, 0x8fb00010, 0x3e00008, 0x27bd0018,
+0x27bdffe0, 0x3c050008, 0x34a50400, 0xafbf0018,
+0xafa00010, 0xafa00014, 0x8f860200, 0x3c040001,
+0x248451d0, 0xc002407, 0x3821, 0x8ee20280,
+0x24420001, 0xaee20280, 0x8ee20280, 0x8f830200,
+0x3c023f00, 0x621824, 0x8fbf0018, 0x3c020400,
+0x3e00008, 0x27bd0020, 0x27bdffd8, 0xafbf0020,
+0xafb1001c, 0xafb00018, 0x8f900220, 0x8ee20214,
+0x3821, 0x24420001, 0xaee20214, 0x8ee20214,
+0x3c020300, 0x2021024, 0x10400027, 0x3c110400,
+0xc00429f, 0x0, 0x3c020100, 0x2021024,
+0x10400007, 0x0, 0x8ee20218, 0x24420001,
+0xaee20218, 0x8ee20218, 0x80040ca, 0x3c03fdff,
+0x8ee2021c, 0x24420001, 0xaee2021c, 0x8ee2021c,
+0x3c03fdff, 0x3463ffff, 0x3c0808ff, 0x3508ffff,
+0x8ee20000, 0x3c040001, 0x248451dc, 0x3c050008,
+0x2003021, 0x431024, 0xaee20000, 0x8f820220,
+0x3821, 0x3c030300, 0x481024, 0x431025,
+0xaf820220, 0xafa00010, 0xc002407, 0xafa00014,
+0x800429a, 0x0, 0x2111024, 0x1040001f,
+0x3c024000, 0x8f830224, 0x24021402, 0x1462000b,
+0x3c03fdff, 0x3c040001, 0x248451e8, 0x3c050008,
+0xafa00010, 0xafa00014, 0x8f860224, 0x34a5ffff,
+0xc002407, 0x3821, 0x3c03fdff, 0x8ee20000,
+0x3463ffff, 0x2002021, 0x431024, 0xc004cf4,
+0xaee20000, 0x8ee20220, 0x24420001, 0xaee20220,
+0x8ee20220, 0x8f820220, 0x3c0308ff, 0x3463ffff,
+0x431024, 0x8004299, 0x511025, 0x2021024,
+0x10400142, 0x0, 0x8ee2022c, 0x24420001,
+0xaee2022c, 0x8ee2022c, 0x8f820220, 0x3c0308ff,
+0x3463ffff, 0x431024, 0x34420004, 0xaf820220,
+0x8f830054, 0x8f820054, 0x8004112, 0x24630002,
+0x8f820054, 0x621023, 0x2c420003, 0x1440fffc,
+0x0, 0x8f8600e0, 0x8f8400e4, 0x30c20007,
+0x10400012, 0x0, 0x8f8300e4, 0x2402fff8,
+0xc21024, 0x1043000d, 0x0, 0x8f820054,
+0x8f8300e0, 0x14c30009, 0x24440050, 0x8f820054,
+0x821023, 0x2c420051, 0x10400004, 0x0,
+0x8f8200e0, 0x10c2fff9, 0x0, 0x8f820220,
+0x3c0308ff, 0x3463fffd, 0x431024, 0xaf820220,
+0x8f8600e0, 0x30c20007, 0x10400003, 0x2402fff8,
+0xc23024, 0xaf8600e0, 0x8f8300c4, 0x3c02001f,
+0x3442ffff, 0x24680008, 0x48102b, 0x10400003,
+0x3c02fff5, 0x34421000, 0x1024021, 0x8f8b00c8,
+0x8f850120, 0x8f840124, 0x8004149, 0x6021,
+0x27623800, 0x82102b, 0x50400001, 0x27643000,
+0x10a40010, 0x318200ff, 0x8c820018, 0x38430007,
+0x2c630001, 0x3842000b, 0x2c420001, 0x621825,
+0x5060fff3, 0x24840020, 0x8ee20240, 0x240c0001,
+0x24420001, 0xaee20240, 0x8ee20240, 0x8c8b0008,
+0x318200ff, 0x14400065, 0x0, 0x3c020001,
+0x571021, 0x904283c0, 0x14400060, 0x0,
+0x8f8400e4, 0xc41023, 0x218c3, 0x4620001,
+0x24630200, 0x8f8900c4, 0x10600005, 0x24020001,
+0x10620009, 0x0, 0x800418b, 0x0,
+0x8ee20230, 0x1205821, 0x24420001, 0xaee20230,
+0x80041c0, 0x8ee20230, 0x8ee20234, 0x3c05000a,
+0x24420001, 0xaee20234, 0x8c8b0000, 0x34a5f000,
+0x8ee20234, 0x12b1823, 0xa3102b, 0x54400001,
+0x651821, 0x2c62233f, 0x14400040, 0x0,
+0x8f8200e8, 0x24420008, 0xaf8200e8, 0x8f8200e8,
+0x8f8200e4, 0x1205821, 0x24420008, 0xaf8200e4,
+0x80041c0, 0x8f8200e4, 0x8ee20238, 0x3c03000a,
+0x24420001, 0xaee20238, 0x8c840000, 0x3463f000,
+0x8ee20238, 0x883823, 0x67102b, 0x54400001,
+0xe33821, 0x3c020003, 0x34420d40, 0x47102b,
+0x10400003, 0x0, 0x80041c0, 0x805821,
+0x8f8200e4, 0x24440008, 0xaf8400e4, 0x8f8400e4,
+0x10860018, 0x3c05000a, 0x34a5f000, 0x3c0a0003,
+0x354a0d40, 0x8ee2007c, 0x24420001, 0xaee2007c,
+0x8c830000, 0x8ee2007c, 0x683823, 0xa7102b,
+0x54400001, 0xe53821, 0x147102b, 0x54400007,
+0x605821, 0x8f8200e4, 0x24440008, 0xaf8400e4,
+0x8f8400e4, 0x1486ffef, 0x0, 0x14860005,
+0x0, 0x1205821, 0xaf8600e4, 0x80041c0,
+0xaf8600e8, 0xaf8400e4, 0xaf8400e8, 0x8f8200c8,
+0x3c03000a, 0x3463f000, 0x483823, 0x67102b,
+0x54400001, 0xe33821, 0x3c020003, 0x34420d3f,
+0x47102b, 0x54400007, 0x6021, 0x1683823,
+0x67102b, 0x54400003, 0xe33821, 0x80041d3,
+0x3c020003, 0x3c020003, 0x34420d3f, 0x47102b,
+0x14400016, 0x318200ff, 0x14400006, 0x0,
+0x3c020001, 0x571021, 0x904283c0, 0x1040000f,
+0x0, 0x8ee2023c, 0x3c04fdff, 0x8ee30000,
+0x3484ffff, 0x24420001, 0xaee2023c, 0x8ee2023c,
+0x24020001, 0x641824, 0x3c010001, 0x370821,
+0xa02283b8, 0x8004230, 0xaee30000, 0xaf8b00c8,
+0x8f8300c8, 0x8f8200c4, 0x3c04000a, 0x3484f000,
+0x623823, 0x87102b, 0x54400001, 0xe43821,
+0x3c020003, 0x34420d40, 0x47102b, 0x2ce30001,
+0x431025, 0x10400008, 0x0, 0x8f820220,
+0x3c0308ff, 0x3463ffff, 0x431024, 0x3c034000,
+0x431025, 0xaf820220, 0x8f8600e0, 0x8f8400e4,
+0x10c4002a, 0x0, 0x8ee2007c, 0x24420001,
+0xaee2007c, 0x8ee2007c, 0x24c2fff8, 0xaf8200e0,
+0x3c020001, 0x8c4275c0, 0x3c030008, 0x8f8600e0,
+0x431024, 0x1040001d, 0x0, 0x10c4001b,
+0x240dfff8, 0x3c0a000a, 0x354af000, 0x3c0c0080,
+0x24850008, 0x27622800, 0x50a20001, 0x27651800,
+0x8c880004, 0x8c820000, 0x8ca90000, 0x3103ffff,
+0x431021, 0x4d1024, 0x24430010, 0x6b102b,
+0x54400001, 0x6a1821, 0x12b102b, 0x54400001,
+0x12a4821, 0x10690002, 0x10c1025, 0xac820004,
+0xa02021, 0x14c4ffeb, 0x24850008, 0x8f820220,
+0x3c0308ff, 0x3463ffff, 0x431024, 0x34420002,
+0xaf820220, 0x8f830054, 0x8f820054, 0x800423b,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820220, 0x3c0308ff,
+0x3463fffb, 0x431024, 0xaf820220, 0x6010055,
+0x0, 0x8ee20228, 0x24420001, 0xaee20228,
+0x8ee20228, 0x8f820220, 0x3c0308ff, 0x3463ffff,
+0x431024, 0x34420004, 0xaf820220, 0x8f830054,
+0x8f820054, 0x8004255, 0x24630002, 0x8f820054,
+0x621023, 0x2c420003, 0x1440fffc, 0x0,
+0x8f8600e0, 0x30c20007, 0x10400012, 0x0,
+0x8f8300e4, 0x2402fff8, 0xc21024, 0x1043000d,
+0x0, 0x8f820054, 0x8f8300e0, 0x14c30009,
+0x24440032, 0x8f820054, 0x821023, 0x2c420033,
+0x10400004, 0x0, 0x8f8200e0, 0x10c2fff9,
+0x0, 0x8f820220, 0x3c0308ff, 0x3463fffd,
+0x431024, 0xaf820220, 0x8f8600e0, 0x30c20007,
+0x10400003, 0x2402fff8, 0xc23024, 0xaf8600e0,
+0x240301f5, 0x8f8200e8, 0x673823, 0x718c0,
+0x431021, 0xaf8200e8, 0x8f8200e8, 0xaf8200e4,
+0x8ee2007c, 0x3c0408ff, 0x3484ffff, 0x471021,
+0xaee2007c, 0x8f820220, 0x3c038000, 0x34630002,
+0x441024, 0x431025, 0xaf820220, 0x8f830054,
+0x8f820054, 0x8004291, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820220, 0x3c0308ff, 0x3463fffb, 0x431024,
+0xaf820220, 0x8fbf0020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0028, 0x3c020001, 0x8c425498,
+0x27bdffd8, 0x10400012, 0xafbf0020, 0x3c040001,
+0x248451f4, 0x3c050008, 0x24020001, 0x3c010001,
+0x370821, 0xac2283ac, 0xafa00010, 0xafa00014,
+0x8f860220, 0x34a50498, 0x3c010001, 0xac205498,
+0x3c010001, 0xac22548c, 0xc002407, 0x3821,
+0x8f420268, 0x3c037fff, 0x3463ffff, 0x431024,
+0xaf420268, 0x8ee204d0, 0x8ee404d4, 0x2403fffe,
+0x431024, 0x30840002, 0x1080011e, 0xaee204d0,
+0x8ee204d4, 0x2403fffd, 0x431024, 0xaee204d4,
+0x8f820044, 0x3c030600, 0x34632000, 0x34420020,
+0xaf820044, 0xafa30018, 0x8ee20608, 0x8f430228,
+0x24420001, 0x304a00ff, 0x514300fe, 0xafa00010,
+0x8ee20608, 0x210c0, 0x571021, 0x8fa30018,
+0x8fa4001c, 0xac43060c, 0xac440610, 0x8f830054,
+0x8f820054, 0x24690032, 0x1221023, 0x2c420033,
+0x1040006a, 0x5821, 0x24180008, 0x240f000d,
+0x240d0007, 0x240c0040, 0x240e0001, 0x8f870120,
+0x27623800, 0x24e80020, 0x102102b, 0x50400001,
+0x27683000, 0x8f820128, 0x11020004, 0x0,
+0x8f820124, 0x15020007, 0x1021, 0x8ee201a4,
+0x2821, 0x24420001, 0xaee201a4, 0x8004341,
+0x8ee201a4, 0x8ee40608, 0x420c0, 0x801821,
+0x8ee40430, 0x8ee50434, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xace40000, 0xace50004,
+0x8ee20608, 0xa4f8000e, 0xacef0018, 0xacea001c,
+0x210c0, 0x2442060c, 0x2e21021, 0xace20008,
+0x8ee204c4, 0xace20010, 0xaf880120, 0x92e24e20,
+0x14400033, 0x24050001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x144d001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x104c0007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x800432e, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400010,
+0xac800000, 0x8004341, 0x0, 0x8ee24e30,
+0x24420001, 0x504c0003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0xac8d0000, 0xac8e0004,
+0x54a00006, 0x240b0001, 0x8f820054, 0x1221023,
+0x2c420033, 0x1440ff9d, 0x0, 0x316300ff,
+0x24020001, 0x54620079, 0xafa00010, 0xaeea0608,
+0x8f830054, 0x8f820054, 0x24690032, 0x1221023,
+0x2c420033, 0x10400061, 0x5821, 0x240d0008,
+0x240c0011, 0x24080012, 0x24070040, 0x240a0001,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x2821, 0x24420001, 0xaee201a4,
+0x80043ad, 0x8ee201a4, 0x8ee20608, 0xac62001c,
+0x8ee404a0, 0x8ee504a4, 0x2462001c, 0xac620008,
+0xa46d000e, 0xac6c0018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400033, 0x24050001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x1448001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x10470007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x800439a, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400010,
+0xac800000, 0x80043ad, 0x0, 0x8ee24e30,
+0x24420001, 0x50470003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0xac880000, 0xac8a0004,
+0x54a00006, 0x240b0001, 0x8f820054, 0x1221023,
+0x2c420033, 0x1440ffa6, 0x0, 0x316300ff,
+0x24020001, 0x54620003, 0xafa00010, 0x80043da,
+0x0, 0x3c040001, 0x24845200, 0xafa00014,
+0x8f860120, 0x8f870124, 0x3c050009, 0xc002407,
+0x34a5f011, 0x80043da, 0x0, 0x3c040001,
+0x2484520c, 0xafa00014, 0x8f860120, 0x8f870124,
+0x3c050009, 0xc002407, 0x34a5f010, 0x80043da,
+0x0, 0x3c040001, 0x24845218, 0xafa00014,
+0x8ee60608, 0x8f470228, 0x3c050009, 0xc002407,
+0x34a5f00f, 0x8ee201ac, 0x24420001, 0xaee201ac,
+0x8ee201ac, 0x8ee2015c, 0x24420001, 0xaee2015c,
+0x8ee2015c, 0x8fbf0020, 0x3e00008, 0x27bd0028,
+0x3c020001, 0x8c425498, 0x27bdffe0, 0x1440000d,
+0xafbf0018, 0x3c040001, 0x24845224, 0x3c050008,
+0xafa00010, 0xafa00014, 0x8f860220, 0x34a50499,
+0x24020001, 0x3c010001, 0xac225498, 0xc002407,
+0x3821, 0x8ee204d0, 0x3c030001, 0x771821,
+0x946383b2, 0x34420001, 0x10600007, 0xaee204d0,
+0x8f820220, 0x3c0308ff, 0x3463ffff, 0x431024,
+0x34420008, 0xaf820220, 0x2021, 0xc0050b3,
+0x24050004, 0xaf420268, 0x8fbf0018, 0x3e00008,
+0x27bd0020, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x3c120001,
+0x26521200, 0x3c140001, 0x8e945410, 0x3c100001,
+0x26101120, 0x3c15c000, 0x36b50060, 0x8e8a0000,
+0x8eb30000, 0x26a400b, 0x248000a, 0x200f821,
+0x0, 0xd, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x80014d6,
+0x0, 0x80014d8, 0x3c0a0001, 0x80014d8,
+0x3c0a0002, 0x80014d8, 0x0, 0x80024aa,
+0x0, 0x80014d8, 0x3c0a0003, 0x80014d8,
+0x3c0a0004, 0x8002f90, 0x0, 0x80014d8,
+0x3c0a0005, 0x8003cec, 0x0, 0x8003c6a,
+0x0, 0x80014d8, 0x3c0a0006, 0x80014d8,
+0x3c0a0007, 0x80014d8, 0x0, 0x80014d8,
+0x0, 0x80014d8, 0x0, 0x8002a79,
+0x0, 0x80014d8, 0x3c0a000b, 0x80014d8,
+0x3c0a000c, 0x80014d8, 0x3c0a000d, 0x800237c,
+0x0, 0x8002339, 0x0, 0x80014d8,
+0x3c0a000e, 0x8001b3c, 0x0, 0x80024a8,
+0x0, 0x80014d8, 0x3c0a000f, 0x80040ab,
+0x0, 0x8004095, 0x0, 0x80014d8,
+0x3c0a0010, 0x80014ee, 0x0, 0x80014d8,
+0x3c0a0011, 0x80014d8, 0x3c0a0012, 0x80014d8,
+0x3c0a0013, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x3c030001,
+0x34633800, 0x24050080, 0x2404001f, 0x2406ffff,
+0x24020001, 0xaf80021c, 0xaf820200, 0xaf820220,
+0x3631021, 0xaf8200c0, 0x3631021, 0xaf8200c4,
+0x3631021, 0xaf8200c8, 0x27623800, 0xaf8200d0,
+0x27623800, 0xaf8200d4, 0x27623800, 0xaf8200d8,
+0x27621800, 0xaf8200e0, 0x27621800, 0xaf8200e4,
+0x27621800, 0xaf8200e8, 0x27621000, 0xaf8200f0,
+0x27621000, 0xaf8200f4, 0x27621000, 0xaf8200f8,
+0xaca00000, 0x2484ffff, 0x1486fffd, 0x24a50004,
+0x8f830040, 0x3c02f000, 0x621824, 0x3c025000,
+0x1062000c, 0x43102b, 0x14400006, 0x3c026000,
+0x3c024000, 0x10620008, 0x24020800, 0x8004539,
+0x0, 0x10620004, 0x24020800, 0x8004539,
+0x0, 0x24020700, 0x3c010001, 0xac22549c,
+0x3e00008, 0x0, 0x27bdffd0, 0xafbf0028,
+0x3c010001, 0xc004cd1, 0xac205484, 0x24040001,
+0x2821, 0x27a60020, 0x34028000, 0xc0048ee,
+0xa7a20020, 0x8f830054, 0x8f820054, 0x800454b,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x24040001, 0x24050001, 0xc0048ac,
+0x27a60020, 0x8f830054, 0x8f820054, 0x8004557,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x24040001, 0x24050001, 0xc0048ac,
+0x27a60020, 0x8f830054, 0x8f820054, 0x8004563,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x24040001, 0x24050002, 0xc0048ac,
+0x27a60018, 0x8f830054, 0x8f820054, 0x800456f,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x24040001, 0x24050003, 0xc0048ac,
+0x27a6001a, 0x97a20020, 0x10400027, 0x24030001,
+0x3c020001, 0x8c425484, 0x97a30018, 0x34420001,
+0x3c010001, 0xac225484, 0x24020015, 0x1462000d,
+0x0, 0x97a2001a, 0x3843f423, 0x2c630001,
+0x3842f430, 0x2c420001, 0x621825, 0x10600005,
+0x24020003, 0x3c010001, 0xac225550, 0x80045a7,
+0x3c08fff0, 0x97a30018, 0x24027810, 0x1462000a,
+0x24020002, 0x97a3001a, 0x24020001, 0x14620006,
+0x24020002, 0x24020004, 0x3c010001, 0xac225550,
+0x80045a7, 0x3c08fff0, 0x3c010001, 0xac225550,
+0x80045a7, 0x3c08fff0, 0x3c020001, 0x8c425484,
+0x3c010001, 0xac235550, 0x34420004, 0x3c010001,
+0xac225484, 0x3c08fff0, 0x3508bdc0, 0x8f830054,
+0x97a60018, 0x3c070001, 0x8ce75550, 0x3c040001,
+0x24845290, 0x24020001, 0x3c010001, 0xac22548c,
+0xafa60010, 0x3c060001, 0x8cc65484, 0x97a2001a,
+0x3c05000d, 0x34a50100, 0x3c010001, 0xac205488,
+0x681821, 0x3c010001, 0xac235548, 0xc002407,
+0xafa20014, 0x8fbf0028, 0x3e00008, 0x27bd0030,
+0x27bdffe8, 0x24070004, 0x3c040001, 0x8c845488,
+0x3021, 0x24020001, 0x1482000a, 0xafbf0010,
+0x3c020001, 0x8c4275cc, 0x3c050004, 0x30428000,
+0x1040000c, 0x34a593e0, 0x3c05000f, 0x80045da,
+0x34a54240, 0x3c020001, 0x8c4275cc, 0x3c05000f,
+0x30428000, 0x10400003, 0x34a54240, 0x3c05001e,
+0x34a58480, 0x3c020001, 0x8c425548, 0x8f830054,
+0x451021, 0x431023, 0x45102b, 0x1440002e,
+0x0, 0x3c020001, 0x8c425490, 0x1440002a,
+0x2cc20001, 0x7182b, 0x431024, 0x1040001d,
+0x0, 0x3c090001, 0x8d295484, 0x240b0001,
+0x3c054000, 0x3c080001, 0x250875cc, 0x250afffc,
+0x42042, 0x14800002, 0x24e7ffff, 0x24040008,
+0x891024, 0x5040000b, 0x2cc20001, 0x148b0004,
+0x0, 0x8d020000, 0x80045ff, 0x451024,
+0x8d420000, 0x451024, 0x54400001, 0x24060001,
+0x2cc20001, 0x7182b, 0x431024, 0x5440ffed,
+0x42042, 0x3c010001, 0x10c00024, 0xac245488,
+0x8f830054, 0x24020001, 0x3c010001, 0xac22548c,
+0x3c010001, 0xac235548, 0x3c020001, 0x8c42548c,
+0x10400006, 0x24020001, 0x3c010001, 0xac20548c,
+0x3c010001, 0x370821, 0xac2283ac, 0x3c030001,
+0x771821, 0x8c6383ac, 0x24020008, 0x10620005,
+0x24020001, 0xc00462f, 0x0, 0x800462c,
+0x0, 0x3c030001, 0x8c635488, 0x10620007,
+0x2402000e, 0x3c030001, 0x8c637560, 0x10620003,
+0x0, 0xc004cf4, 0x8f840220, 0x8fbf0010,
+0x3e00008, 0x27bd0018, 0x27bdffe0, 0x3c02fdff,
+0xafbf0018, 0x8ee30000, 0x3c050001, 0x8ca55488,
+0x3c040001, 0x8c8454a8, 0x3442ffff, 0x621824,
+0x14a40008, 0xaee30000, 0x3c030001, 0x771821,
+0x8c6383ac, 0x3c020001, 0x8c4254ac, 0x10620008,
+0x0, 0x3c020001, 0x571021, 0x8c4283ac,
+0x3c010001, 0xac2554a8, 0x3c010001, 0xac2254ac,
+0x3c030001, 0x8c635488, 0x24020002, 0x10620131,
+0x2c620003, 0x10400005, 0x24020001, 0x10620008,
+0x0, 0x800477e, 0x0, 0x24020004,
+0x10620079, 0x24020001, 0x800477f, 0x0,
+0x3c020001, 0x571021, 0x8c4283ac, 0x2443ffff,
+0x2c620008, 0x10400122, 0x31080, 0x3c010001,
+0x220821, 0x8c2252a8, 0x400008, 0x0,
+0xc004784, 0x0, 0x3c020001, 0x8c425494,
+0x3c010001, 0xac205420, 0x104000bd, 0x24020002,
+0x3c010001, 0x370821, 0xac2283ac, 0x3c010001,
+0x8004781, 0xac205494, 0xc00492f, 0x0,
+0x3c030001, 0x8c6354b0, 0x80046f0, 0x24020011,
+0x3c050001, 0x8ca55488, 0x3c060001, 0x8cc675cc,
+0xc004fa8, 0x2021, 0x24020005, 0x3c010001,
+0xac205494, 0x3c010001, 0x370821, 0x8004781,
+0xac2283ac, 0x3c040001, 0x2484529c, 0x3c05000f,
+0x34a50100, 0x3021, 0x3821, 0xafa00010,
+0xc002407, 0xafa00014, 0x8004781, 0x0,
+0x8f820220, 0x3c03f700, 0x431025, 0x8004719,
+0xaf820220, 0x8f820220, 0x3c030004, 0x431024,
+0x14400090, 0x24020007, 0x8f830054, 0x3c020001,
+0x8c425540, 0x2463d8f0, 0x431023, 0x2c422710,
+0x144000df, 0x24020001, 0x800477f, 0x0,
+0x3c050001, 0x8ca55488, 0xc0050b3, 0x2021,
+0xc00517e, 0x2021, 0x3c030001, 0x8c6375c4,
+0x46100d1, 0x24020001, 0x3c020008, 0x621024,
+0x10400006, 0x0, 0x8f820214, 0x3c03ffff,
+0x431024, 0x80046bc, 0x3442251f, 0x8f820214,
+0x3c03ffff, 0x431024, 0x3442241f, 0xaf820214,
+0x8ee20000, 0x3c030200, 0x431025, 0xaee20000,
+0x8f820220, 0x2403fffb, 0x431024, 0xaf820220,
+0x8f820220, 0x34420002, 0xaf820220, 0x24020008,
+0x3c010001, 0x370821, 0xc0043e1, 0xac2283ac,
+0x8004781, 0x0, 0x3c020001, 0x571021,
+0x8c4283ac, 0x2443ffff, 0x2c620008, 0x104000ac,
+0x31080, 0x3c010001, 0x220821, 0x8c2252c8,
+0x400008, 0x0, 0xc00429f, 0x0,
+0x3c010001, 0xac20548c, 0xaf800204, 0x3c010001,
+0xc004784, 0xac2075b0, 0x24020001, 0x3c010001,
+0xac2254a0, 0x24020002, 0x3c010001, 0x370821,
+0x8004781, 0xac2283ac, 0xc004801, 0x0,
+0x3c030001, 0x8c6354a0, 0x24020009, 0x14620090,
+0x24020003, 0x3c010001, 0x370821, 0x8004781,
+0xac2283ac, 0x3c020001, 0x8c4275c8, 0x30424000,
+0x10400005, 0x0, 0x8f820044, 0x3c03ffff,
+0x8004701, 0x34637fff, 0x8f820044, 0x2403ff7f,
+0x431024, 0xaf820044, 0x8f830054, 0x800471b,
+0x24020004, 0x8f830054, 0x3c020001, 0x8c425540,
+0x2463d8f0, 0x431023, 0x2c422710, 0x14400074,
+0x24020005, 0x3c010001, 0x370821, 0x8004781,
+0xac2283ac, 0x8f820220, 0x3c03f700, 0x431025,
+0xaf820220, 0xaf800204, 0x3c010001, 0xac2075b0,
+0x8f830054, 0x24020006, 0x3c010001, 0x370821,
+0xac2283ac, 0x3c010001, 0x8004781, 0xac235540,
+0x8f830054, 0x3c020001, 0x8c425540, 0x2463fff6,
+0x431023, 0x2c42000a, 0x14400059, 0x0,
+0x24020007, 0x3c010001, 0x370821, 0x8004781,
+0xac2283ac, 0x8f820220, 0x3c04f700, 0x441025,
+0xaf820220, 0x8f820220, 0x3c030300, 0x431024,
+0x14400005, 0x1821, 0x8f820220, 0x24030001,
+0x441025, 0xaf820220, 0x10600043, 0x24020001,
+0x8f820214, 0x3c03ffff, 0x3c040001, 0x8c845538,
+0x431024, 0x3442251f, 0xaf820214, 0x24020008,
+0x3c010001, 0x370821, 0x1080000b, 0xac2283ac,
+0x3c020001, 0x8c425514, 0x14400007, 0x24020001,
+0x3c010001, 0xac227560, 0xc004cf4, 0x8f840220,
+0x800476e, 0x0, 0x8f820220, 0x3c030008,
+0x431024, 0x14400017, 0x2402000e, 0x3c010001,
+0xac227560, 0x8ee20000, 0x2021, 0x3c030200,
+0x431025, 0xc00517e, 0xaee20000, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x34420002, 0xc0043e1, 0xaf820220, 0x3c050001,
+0x8ca55488, 0xc0050b3, 0x2021, 0x8004781,
+0x0, 0x3c020001, 0x8c425514, 0x10400010,
+0x0, 0x3c020001, 0x8c425510, 0x2442ffff,
+0x3c010001, 0xac225510, 0x14400009, 0x24020002,
+0x3c010001, 0xac205514, 0x3c010001, 0x8004781,
+0xac225510, 0x24020001, 0x3c010001, 0xac22548c,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x8f820200,
+0x8f820220, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820200, 0x3c060001, 0x8cc65488, 0x34420004,
+0xaf820200, 0x24020002, 0x10c2003a, 0x2cc20003,
+0x10400005, 0x24020001, 0x10c20008, 0x0,
+0x80047ca, 0x0, 0x24020004, 0x10c20013,
+0x24020001, 0x80047ca, 0x0, 0x3c030001,
+0x8c635478, 0x3c020001, 0x8c425480, 0x3c040001,
+0x8c84549c, 0x3c050001, 0x8ca5547c, 0xaf860200,
+0xaf860220, 0x34630022, 0x441025, 0x451025,
+0x34420002, 0x80047c9, 0xaf830200, 0x3c030001,
+0x8c635538, 0xaf820200, 0x10600009, 0xaf820220,
+0x3c020001, 0x8c425514, 0x14400005, 0x3c033f00,
+0x3c020001, 0x8c425470, 0x80047bd, 0x346300e0,
+0x3c020001, 0x8c425470, 0x3c033f00, 0x346300e2,
+0x431025, 0xaf820200, 0x3c030001, 0x8c635474,
+0x3c04f700, 0x3c020001, 0x8c425480, 0x3c050001,
+0x8ca5549c, 0x641825, 0x431025, 0x451025,
+0xaf820220, 0x3e00008, 0x0, 0x8f820220,
+0x3c030001, 0x8c635488, 0x34420004, 0xaf820220,
+0x24020001, 0x1062000f, 0x0, 0x8f830054,
+0x8f820054, 0x24630002, 0x621023, 0x2c420003,
+0x10400011, 0x0, 0x8f820054, 0x621023,
+0x2c420003, 0x1040000c, 0x0, 0x80047db,
+0x0, 0x8f830054, 0x8f820054, 0x80047e7,
+0x24630007, 0x8f820054, 0x621023, 0x2c420008,
+0x1440fffc, 0x0, 0x8f8400e0, 0x30820007,
+0x1040000d, 0x0, 0x8f820054, 0x8f8300e0,
+0x14830009, 0x24450032, 0x8f820054, 0xa21023,
+0x2c420033, 0x10400004, 0x0, 0x8f8200e0,
+0x1082fff9, 0x0, 0x8f820220, 0x2403fffd,
+0x431024, 0xaf820220, 0x3e00008, 0x0,
+0x3c030001, 0x8c6354a0, 0x3c020001, 0x8c4254a4,
+0x50620004, 0x2463ffff, 0x3c010001, 0xac2354a4,
+0x2463ffff, 0x2c620009, 0x1040009d, 0x31080,
+0x3c010001, 0x220821, 0x8c2252e8, 0x400008,
+0x0, 0x8f820044, 0x34428080, 0xaf820044,
+0x8f830054, 0x800489a, 0x24020002, 0x8f830054,
+0x3c020001, 0x8c425544, 0x2463d8f0, 0x431023,
+0x2c422710, 0x1440008a, 0x24020003, 0x80048a7,
+0x0, 0x8f820044, 0x3c03ffff, 0x34637fff,
+0x431024, 0xaf820044, 0x8f830054, 0x800489a,
+0x24020004, 0x8f830054, 0x3c020001, 0x8c425544,
+0x2463fff6, 0x431023, 0x2c42000a, 0x14400078,
+0x24020005, 0x80048a7, 0x0, 0x8f820220,
+0x3c03f700, 0x431025, 0xaf820220, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x34420002, 0xaf820220, 0x3c023f00, 0x344200e0,
+0xaf820200, 0x8f820200, 0x2403fffd, 0x431024,
+0xaf820200, 0x24040001, 0x3405ffff, 0xaf840204,
+0x8f830054, 0x8f820054, 0x800484e, 0x24630001,
+0x8f820054, 0x621023, 0x2c420002, 0x1440fffc,
+0x0, 0x8f820224, 0x42040, 0xa4102b,
+0x1040fff2, 0x0, 0x8f820220, 0x3c03f700,
+0x431025, 0xaf820220, 0x8f820214, 0x3c03ffff,
+0x431024, 0x3442251f, 0xaf820214, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x3c04f700, 0x34840008, 0x34420002, 0xaf820220,
+0x8f820220, 0x3c033f00, 0x346300e2, 0x441025,
+0xaf820220, 0xaf830200, 0x8f8400f0, 0x276217f8,
+0x14820002, 0x24850008, 0x27651000, 0x8f8200f4,
+0x10a20007, 0x3c038000, 0x34630040, 0x3c020001,
+0x24425430, 0xac820000, 0xac830004, 0xaf8500f0,
+0x8f830054, 0x800489a, 0x24020006, 0x8f830054,
+0x3c020001, 0x8c425544, 0x2463fff6, 0x431023,
+0x2c42000a, 0x14400022, 0x24020007, 0x80048a7,
+0x0, 0x8f8200e0, 0xaf8200e4, 0x8f8200e0,
+0xaf8200e8, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820220, 0x2403fff7, 0x431024, 0xaf820220,
+0x8f820044, 0x34428080, 0xaf820044, 0x8f830054,
+0x24020008, 0x3c010001, 0xac2254a0, 0x3c010001,
+0x80048a9, 0xac235544, 0x8f830054, 0x3c020001,
+0x8c425544, 0x2463d8f0, 0x431023, 0x2c422710,
+0x14400003, 0x24020009, 0x3c010001, 0xac2254a0,
+0x3e00008, 0x0, 0x0, 0x27bdffd8,
+0xafb20018, 0x809021, 0xafb3001c, 0xa09821,
+0xafb10014, 0xc08821, 0xafb00010, 0x8021,
+0xafbf0020, 0xa6200000, 0xc004cab, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004cab, 0x2021, 0xc004cab, 0x24040001,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x24100010, 0x2501024, 0x10400002, 0x2021,
+0x24040001, 0xc004cab, 0x108042, 0x1600fffa,
+0x2501024, 0x24100010, 0x2701024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x2701024, 0xc004cd1, 0x34108000,
+0xc004cd1, 0x0, 0xc004c8b, 0x0,
+0x50400005, 0x108042, 0x96220000, 0x501025,
+0xa6220000, 0x108042, 0x1600fff7, 0x0,
+0xc004cd1, 0x0, 0x8fbf0020, 0x8fb3001c,
+0x8fb20018, 0x8fb10014, 0x8fb00010, 0x3e00008,
+0x27bd0028, 0x27bdffd8, 0xafb10014, 0x808821,
+0xafb20018, 0xa09021, 0xafb3001c, 0xc09821,
+0xafb00010, 0x8021, 0xafbf0020, 0xc004cab,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0x24100010, 0x2301024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x2301024, 0x24100010, 0x2501024,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x2501024, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0x34108000,
+0x96620000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004cab, 0x108042, 0x1600fff8,
+0x0, 0xc004cd1, 0x0, 0x8fbf0020,
+0x8fb3001c, 0x8fb20018, 0x8fb10014, 0x8fb00010,
+0x3e00008, 0x27bd0028, 0x3c030001, 0x8c6354b0,
+0x3c020001, 0x8c4254f4, 0x27bdffd8, 0xafbf0020,
+0xafb1001c, 0x10620003, 0xafb00018, 0x3c010001,
+0xac2354f4, 0x2463ffff, 0x2c620013, 0x10400349,
+0x31080, 0x3c010001, 0x220821, 0x8c225310,
+0x400008, 0x0, 0xc004cd1, 0x8021,
+0x34028000, 0xa7a20010, 0x27b10010, 0xc004cab,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0xc004cab,
+0x2021, 0x108042, 0x1600fffc, 0x0,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8004c84, 0x24020002, 0x27b10010, 0xa7a00010,
+0x8021, 0xc004cab, 0x24040001, 0x26100001,
+0x2e020020, 0x1440fffb, 0x0, 0xc004cab,
+0x2021, 0xc004cab, 0x24040001, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0x24100010,
+0x32020001, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020001,
+0x24100010, 0xc004cab, 0x2021, 0x108042,
+0x1600fffc, 0x0, 0xc004cd1, 0x34108000,
+0xc004cd1, 0x0, 0xc004c8b, 0x0,
+0x50400005, 0x108042, 0x96220000, 0x501025,
+0xa6220000, 0x108042, 0x1600fff7, 0x0,
+0xc004cd1, 0x0, 0x97a20010, 0x30428000,
+0x144002dc, 0x24020003, 0x8004c84, 0x0,
+0x24021200, 0xa7a20010, 0x27b10010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0xc004cab, 0x2021, 0x108042, 0x1600fffc,
+0x0, 0xc004cab, 0x24040001, 0xc004cab,
+0x2021, 0x34108000, 0x96220000, 0x501024,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fff8, 0x0, 0xc004cd1,
+0x0, 0x8f830054, 0x8004c76, 0x24020004,
+0x8f830054, 0x3c020001, 0x8c42554c, 0x2463ff9c,
+0x431023, 0x2c420064, 0x1440029e, 0x24020002,
+0x3c030001, 0x8c635550, 0x10620297, 0x2c620003,
+0x14400296, 0x24020011, 0x24020003, 0x10620005,
+0x24020004, 0x10620291, 0x2402000f, 0x8004c84,
+0x24020011, 0x8004c84, 0x24020005, 0x24020014,
+0xa7a20010, 0x27b10010, 0x8021, 0xc004cab,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020012,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020012, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004cab, 0x108042, 0x1600fff8,
+0x0, 0xc004cd1, 0x0, 0x8f830054,
+0x8004c76, 0x24020006, 0x8f830054, 0x3c020001,
+0x8c42554c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400250, 0x24020007, 0x8004c84, 0x0,
+0x24020006, 0xa7a20010, 0x27b10010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020013, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020013,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x24020008, 0x8f830054,
+0x3c020001, 0x8c42554c, 0x2463ff9c, 0x431023,
+0x2c420064, 0x1440020f, 0x24020009, 0x8004c84,
+0x0, 0x27b10010, 0xa7a00010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x24040001,
+0xc004cab, 0x2021, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020018, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020018,
+0xc004cd1, 0x34108000, 0xc004cd1, 0x0,
+0xc004c8b, 0x0, 0x50400005, 0x108042,
+0x96220000, 0x501025, 0xa6220000, 0x108042,
+0x1600fff7, 0x0, 0xc004cd1, 0x8021,
+0x97a20010, 0x27b10010, 0x34420001, 0xa7a20010,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020018, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020018,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x2402000a, 0x8f830054,
+0x3c020001, 0x8c42554c, 0x2463ff9c, 0x431023,
+0x2c420064, 0x1440019b, 0x2402000b, 0x8004c84,
+0x0, 0x27b10010, 0xa7a00010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x24040001,
+0xc004cab, 0x2021, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020017, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020017,
+0xc004cd1, 0x34108000, 0xc004cd1, 0x0,
+0xc004c8b, 0x0, 0x50400005, 0x108042,
+0x96220000, 0x501025, 0xa6220000, 0x108042,
+0x1600fff7, 0x0, 0xc004cd1, 0x8021,
+0x97a20010, 0x27b10010, 0x34420700, 0xa7a20010,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020017, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020017,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x2402000c, 0x8f830054,
+0x3c020001, 0x8c42554c, 0x2463ff9c, 0x431023,
+0x2c420064, 0x14400127, 0x24020012, 0x8004c84,
+0x0, 0x27b10010, 0xa7a00010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x24040001,
+0xc004cab, 0x2021, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020014, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020014,
+0xc004cd1, 0x34108000, 0xc004cd1, 0x0,
+0xc004c8b, 0x0, 0x50400005, 0x108042,
+0x96220000, 0x501025, 0xa6220000, 0x108042,
+0x1600fff7, 0x0, 0xc004cd1, 0x8021,
+0x97a20010, 0x27b10010, 0x34420010, 0xa7a20010,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020014, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020014,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x24020013, 0x8f830054,
+0x3c020001, 0x8c42554c, 0x2463ff9c, 0x431023,
+0x2c420064, 0x144000b3, 0x2402000d, 0x8004c84,
+0x0, 0x27b10010, 0xa7a00010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x24040001,
+0xc004cab, 0x2021, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020018, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020018,
+0xc004cd1, 0x34108000, 0xc004cd1, 0x0,
+0xc004c8b, 0x0, 0x50400005, 0x108042,
+0x96220000, 0x501025, 0xa6220000, 0x108042,
+0x1600fff7, 0x0, 0xc004cd1, 0x8021,
+0x97a20010, 0x27b10010, 0x3042fffe, 0xa7a20010,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020018, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020018,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x2402000e, 0x24020840,
+0xa7a20010, 0x27b10010, 0x8021, 0xc004cab,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020013,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020013, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004cab, 0x108042, 0x1600fff8,
+0x0, 0xc004cd1, 0x0, 0x8f830054,
+0x24020010, 0x3c010001, 0xac2254b0, 0x3c010001,
+0x8004c86, 0xac23554c, 0x8f830054, 0x3c020001,
+0x8c42554c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400004, 0x0, 0x24020011, 0x3c010001,
+0xac2254b0, 0x8fbf0020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0028, 0x8f850044, 0x8f820044,
+0x3c030001, 0x431025, 0x3c030008, 0xaf820044,
+0x8f840054, 0x8f820054, 0xa32824, 0x8004c97,
+0x24840001, 0x8f820054, 0x821023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820044, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820044, 0x8f830054,
+0x8f820054, 0x8004ca5, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x3e00008, 0xa01021, 0x8f830044, 0x3c02fff0,
+0x3442ffff, 0x42480, 0x621824, 0x3c020002,
+0x822025, 0x641825, 0xaf830044, 0x8f820044,
+0x3c030001, 0x431025, 0xaf820044, 0x8f830054,
+0x8f820054, 0x8004cbd, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820044, 0x3c03fffe, 0x3463ffff, 0x431024,
+0xaf820044, 0x8f830054, 0x8f820054, 0x8004ccb,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x3e00008, 0x0,
+0x8f820044, 0x3c03fff0, 0x3463ffff, 0x431024,
+0xaf820044, 0x8f820044, 0x3c030001, 0x431025,
+0xaf820044, 0x8f830054, 0x8f820054, 0x8004cdf,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820044, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820044, 0x8f830054,
+0x8f820054, 0x8004ced, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x3e00008, 0x0, 0x0, 0x27bdffe8,
+0xafbf0010, 0x3c030001, 0x771821, 0x8c6383ac,
+0x24020008, 0x1462022c, 0x803021, 0x3c020001,
+0x8c425538, 0x14400033, 0x0, 0x8f850224,
+0x38a30020, 0x2c630001, 0x38a20010, 0x2c420001,
+0x621825, 0x1460000d, 0x38a30030, 0x2c630001,
+0x38a20400, 0x2c420001, 0x621825, 0x14600007,
+0x38a30402, 0x2c630001, 0x38a20404, 0x2c420001,
+0x621825, 0x10600005, 0x0, 0xc00429f,
+0x0, 0x8004d2d, 0x2402000e, 0xc0043e1,
+0x0, 0x3c050001, 0x8ca55488, 0xc0050b3,
+0x2021, 0x3c030001, 0x8c635488, 0x24020004,
+0x14620005, 0x2403fffb, 0x3c020001, 0x8c425484,
+0x8004d29, 0x2403fff7, 0x3c020001, 0x8c425484,
+0x431024, 0x3c010001, 0xac225484, 0x2402000e,
+0x3c010001, 0xc00429f, 0xac227560, 0x8004f27,
+0x0, 0x8f820220, 0x3c030400, 0x431024,
+0x10400027, 0x2403ffbf, 0x8f850224, 0x3c020001,
+0x8c42756c, 0xa32024, 0x431024, 0x1482000c,
+0x0, 0x3c020001, 0x8c427570, 0x24420001,
+0x3c010001, 0xac227570, 0x2c420002, 0x14400008,
+0x24020001, 0x3c010001, 0x8004d4d, 0xac227590,
+0x3c010001, 0xac207570, 0x3c010001, 0xac207590,
+0x3c020001, 0x8c427590, 0x10400006, 0x30a20040,
+0x10400004, 0x24020001, 0x3c010001, 0x8004d58,
+0xac227594, 0x3c010001, 0xac207594, 0x3c010001,
+0xac25756c, 0x3c010001, 0x8004d68, 0xac2075a0,
+0x24020001, 0x3c010001, 0xac2275a0, 0x3c010001,
+0xac207590, 0x3c010001, 0xac207570, 0x3c010001,
+0xac207594, 0x3c010001, 0xac20756c, 0x3c030001,
+0x8c637560, 0x3c020001, 0x8c427564, 0x10620003,
+0x3c020200, 0x3c010001, 0xac237564, 0xc21024,
+0x10400007, 0x2463ffff, 0x8f820220, 0x24030001,
+0x3c010001, 0xac23548c, 0x8004f25, 0x3c03f700,
+0x2c62000e, 0x104001a8, 0x31080, 0x3c010001,
+0x220821, 0x8c225360, 0x400008, 0x0,
+0x3c010001, 0xac207590, 0x3c010001, 0xac207570,
+0x3c010001, 0xac20756c, 0x3c010001, 0xac207594,
+0x3c010001, 0xac207588, 0x3c010001, 0xac207580,
+0xc0047cc, 0xaf800224, 0x24020002, 0x3c010001,
+0xac227560, 0x3c020001, 0x8c4275a0, 0x14400056,
+0x3c03fdff, 0x8ee20000, 0x3463ffff, 0x431024,
+0xc00429f, 0xaee20000, 0xaf800204, 0x8f820200,
+0x2403fffd, 0x431024, 0xaf820200, 0x3c010001,
+0xac2075b0, 0x8f830054, 0x3c020001, 0x8c427588,
+0x24040001, 0x3c010001, 0xac24759c, 0x24420001,
+0x3c010001, 0xac227588, 0x2c420004, 0x3c010001,
+0xac237584, 0x14400006, 0x24020003, 0x3c010001,
+0xac24548c, 0x3c010001, 0x8004f23, 0xac207588,
+0x3c010001, 0x8004f23, 0xac227560, 0x8f830054,
+0x3c020001, 0x8c427584, 0x2463d8f0, 0x431023,
+0x2c422710, 0x14400003, 0x24020004, 0x3c010001,
+0xac227560, 0x3c020001, 0x8c4275a0, 0x14400026,
+0x3c03fdff, 0x8ee20000, 0x3463ffff, 0x431024,
+0x8004f23, 0xaee20000, 0x3c040001, 0x8c84553c,
+0x3c010001, 0xc004f2a, 0xac207578, 0x3c020001,
+0x8c4275ac, 0xaf820204, 0x3c020001, 0x8c4275a0,
+0x14400015, 0x3c03fdff, 0x8ee20000, 0x3463ffff,
+0x431024, 0xaee20000, 0x8f820204, 0x30420030,
+0x1440013c, 0x24020002, 0x3c030001, 0x8c6375ac,
+0x24020005, 0x3c010001, 0xac227560, 0x3c010001,
+0x8004f23, 0xac2375b0, 0x3c020001, 0x8c4275a0,
+0x10400010, 0x3c03fdff, 0x3c020001, 0x8c42550c,
+0x24420001, 0x3c010001, 0xac22550c, 0x2c420002,
+0x14400131, 0x24020001, 0x3c010001, 0xac225514,
+0x3c010001, 0xac20550c, 0x3c010001, 0x8004f23,
+0xac22548c, 0x8ee20000, 0x3463ffff, 0x431024,
+0xaee20000, 0x3c020001, 0x8c427590, 0x10400122,
+0x0, 0x3c020001, 0x8c42756c, 0x1040011e,
+0x0, 0x3c010001, 0xac227598, 0x24020003,
+0x3c010001, 0xac227570, 0x8004ec4, 0x24020006,
+0x3c010001, 0xac207578, 0x8f820204, 0x34420040,
+0xaf820204, 0x3c020001, 0x8c4275b0, 0x24030007,
+0x3c010001, 0xac237560, 0x34420040, 0x3c010001,
+0xac2275b0, 0x3c020001, 0x8c427590, 0x10400005,
+0x0, 0x3c020001, 0x8c42756c, 0x104000f9,
+0x24020002, 0x3c050001, 0x24a57570, 0x8ca20000,
+0x2c424e21, 0x104000f3, 0x24020002, 0x3c020001,
+0x8c427594, 0x104000f8, 0x2404ffbf, 0x3c020001,
+0x8c42756c, 0x3c030001, 0x8c637598, 0x441024,
+0x641824, 0x10430004, 0x24020001, 0x3c010001,
+0x8004f23, 0xac227560, 0x24020003, 0xaca20000,
+0x24020008, 0x3c010001, 0xac227560, 0x3c020001,
+0x8c42759c, 0x1040000c, 0x24020001, 0x3c040001,
+0xc004f37, 0x8c84756c, 0x3c020001, 0x8c4275b8,
+0x14400005, 0x24020001, 0x3c020001, 0x8c4275b4,
+0x10400006, 0x24020001, 0x3c010001, 0xac22548c,
+0x3c010001, 0x8004f23, 0xac207588, 0x3c020001,
+0x8c427580, 0x3c030001, 0x8c63756c, 0x2c420001,
+0x210c0, 0x30630008, 0x3c010001, 0xac227580,
+0x3c010001, 0xac23757c, 0x8f830054, 0x24020009,
+0x3c010001, 0xac227560, 0x3c010001, 0x8004f23,
+0xac237584, 0x8f830054, 0x3c020001, 0x8c427584,
+0x2463d8f0, 0x431023, 0x2c422710, 0x144000a8,
+0x0, 0x3c020001, 0x8c427590, 0x10400005,
+0x0, 0x3c020001, 0x8c42756c, 0x104000a9,
+0x24020002, 0x3c030001, 0x24637570, 0x8c620000,
+0x2c424e21, 0x104000a3, 0x24020002, 0x3c020001,
+0x8c42759c, 0x1040000e, 0x0, 0x3c020001,
+0x8c42756c, 0x3c010001, 0xac20759c, 0x30420080,
+0x1040002f, 0x2402000c, 0x8f820204, 0x30420080,
+0x1440000c, 0x24020003, 0x8004eb1, 0x2402000c,
+0x3c020001, 0x8c42756c, 0x30420080, 0x14400005,
+0x24020003, 0x8f820204, 0x30420080, 0x1040001f,
+0x24020003, 0xac620000, 0x2402000a, 0x3c010001,
+0xac227560, 0x3c040001, 0x248475a8, 0x8c820000,
+0x3c030001, 0x8c637580, 0x431025, 0xaf820204,
+0x8c830000, 0x3c040001, 0x8c847580, 0x2402000b,
+0x3c010001, 0xac227560, 0x641825, 0x3c010001,
+0xac2375b0, 0x3c050001, 0x24a57570, 0x8ca20000,
+0x2c424e21, 0x1040006f, 0x24020002, 0x3c020001,
+0x8c4275a0, 0x10400005, 0x0, 0x2402000c,
+0x3c010001, 0x8004f23, 0xac227560, 0x3c020001,
+0x8c427590, 0x1040006c, 0x0, 0x3c040001,
+0x8c84756c, 0x1080005e, 0x30820008, 0x3c030001,
+0x8c63757c, 0x10620064, 0x24020003, 0x3c010001,
+0xac247598, 0xaca20000, 0x24020006, 0x3c010001,
+0x8004f23, 0xac227560, 0x8f820200, 0x34420002,
+0xaf820200, 0x8f830054, 0x2402000d, 0x3c010001,
+0xac227560, 0x3c010001, 0xac237584, 0x8f830054,
+0x3c020001, 0x8c427584, 0x2463d8f0, 0x431023,
+0x2c422710, 0x1440003a, 0x0, 0x3c020001,
+0x8c4275a0, 0x10400029, 0x2402000e, 0x3c030001,
+0x8c6375b4, 0x3c010001, 0x14600015, 0xac227560,
+0xc0043e1, 0x0, 0x3c050001, 0x8ca55488,
+0xc0050b3, 0x2021, 0x3c030001, 0x8c635488,
+0x24020004, 0x14620005, 0x2403fffb, 0x3c020001,
+0x8c425484, 0x8004ef2, 0x2403fff7, 0x3c020001,
+0x8c425484, 0x431024, 0x3c010001, 0xac225484,
+0x8ee20000, 0x3c030200, 0x431025, 0xaee20000,
+0x8f820224, 0x3c010001, 0xac2275bc, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x34420002, 0x8004f23, 0xaf820220, 0x3c020001,
+0x8c427590, 0x10400005, 0x0, 0x3c020001,
+0x8c42756c, 0x1040000f, 0x24020002, 0x3c020001,
+0x8c427570, 0x2c424e21, 0x1040000a, 0x24020002,
+0x3c020001, 0x8c427590, 0x1040000f, 0x0,
+0x3c020001, 0x8c42756c, 0x1440000b, 0x0,
+0x24020002, 0x3c010001, 0x8004f23, 0xac227560,
+0x3c020001, 0x8c427590, 0x10400003, 0x0,
+0xc00429f, 0x0, 0x8f820220, 0x3c03f700,
+0x431025, 0xaf820220, 0x8fbf0010, 0x3e00008,
+0x27bd0018, 0x3c030001, 0x246375b8, 0x8c620000,
+0x10400005, 0x34422000, 0x3c010001, 0xac2275ac,
+0x8004f35, 0xac600000, 0x3c010001, 0xac2475ac,
+0x3e00008, 0x0, 0x27bdffe0, 0x30820030,
+0xafbf0018, 0x3c010001, 0xac2275b4, 0x14400067,
+0x3c02ffff, 0x34421f0e, 0x821024, 0x14400061,
+0x24020030, 0x30822000, 0x1040005d, 0x30838000,
+0x31a02, 0x30820001, 0x21200, 0x3c040001,
+0x8c84553c, 0x621825, 0x331c2, 0x3c030001,
+0x24635518, 0x30828000, 0x21202, 0x30840001,
+0x42200, 0x441025, 0x239c2, 0x61080,
+0x431021, 0x471021, 0x90430000, 0x24020001,
+0x10620025, 0x0, 0x10600007, 0x24020002,
+0x10620013, 0x24020003, 0x1062002c, 0x3c05000f,
+0x8004f99, 0x0, 0x8f820200, 0x2403feff,
+0x431024, 0xaf820200, 0x8f820220, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820220, 0x3c010001,
+0xac2075d4, 0x3c010001, 0x8004fa4, 0xac2075dc,
+0x8f820200, 0x34420100, 0xaf820200, 0x8f820220,
+0x3c03fffe, 0x3463ffff, 0x431024, 0xaf820220,
+0x24020100, 0x3c010001, 0xac2275d4, 0x3c010001,
+0x8004fa4, 0xac2075dc, 0x8f820200, 0x2403feff,
+0x431024, 0xaf820200, 0x8f820220, 0x3c030001,
+0x431025, 0xaf820220, 0x3c010001, 0xac2075d4,
+0x3c010001, 0x8004fa4, 0xac2375dc, 0x8f820200,
+0x34420100, 0xaf820200, 0x8f820220, 0x3c030001,
+0x431025, 0xaf820220, 0x24020100, 0x3c010001,
+0xac2275d4, 0x3c010001, 0x8004fa4, 0xac2375dc,
+0x34a5ffff, 0x3c040001, 0x24845398, 0xafa30010,
+0xc002407, 0xafa00014, 0x8004fa4, 0x0,
+0x24020030, 0x3c010001, 0xac2275b8, 0x8fbf0018,
+0x3e00008, 0x27bd0020, 0x0, 0x27bdffc8,
+0xafb10024, 0x808821, 0xafb3002c, 0xa09821,
+0xafb00020, 0xc08021, 0x3c040001, 0x248453b0,
+0x3c050009, 0x3c020001, 0x8c425488, 0x34a59001,
+0x2203021, 0x2603821, 0xafbf0030, 0xafb20028,
+0xa7a0001a, 0xafb00014, 0xc002407, 0xafa20010,
+0x24020002, 0x126200ed, 0x2e620003, 0x10400005,
+0x24020001, 0x1262000a, 0x3c02fffb, 0x80050ac,
+0x0, 0x24020004, 0x1262006d, 0x24020008,
+0x1262006c, 0x3c02ffec, 0x80050ac, 0x0,
+0x3442ffff, 0x2028024, 0x119140, 0x3c010001,
+0x320821, 0xac3075cc, 0x3c024000, 0x2021024,
+0x10400046, 0x1023c2, 0x30840030, 0x101382,
+0x3042000c, 0x3c030001, 0x246354b4, 0x431021,
+0x823821, 0x3c020020, 0x2021024, 0x10400006,
+0x24020100, 0x3c010001, 0x320821, 0xac2275d0,
+0x8004feb, 0x3c020080, 0x3c010001, 0x320821,
+0xac2075d0, 0x3c020080, 0x2021024, 0x10400006,
+0x111940, 0x3c020001, 0x3c010001, 0x230821,
+0x8004ff7, 0xac2275d8, 0x111140, 0x3c010001,
+0x220821, 0xac2075d8, 0x94e30000, 0x32024000,
+0x10400003, 0xa7a30018, 0x34624000, 0xa7a20018,
+0x24040001, 0x94e20002, 0x24050004, 0x24e60002,
+0x34420001, 0xc0048ee, 0xa4e20002, 0x24040001,
+0x2821, 0xc0048ee, 0x27a60018, 0x3c020001,
+0x8c425488, 0x24110001, 0x3c010001, 0xac315494,
+0x14530004, 0x32028000, 0xc00429f, 0x0,
+0x32028000, 0x10400099, 0x0, 0xc00429f,
+0x0, 0x24020002, 0x3c010001, 0xac31548c,
+0x3c010001, 0x80050ac, 0xac225488, 0x24040001,
+0x24050004, 0x27b0001a, 0xc0048ee, 0x2003021,
+0x24040001, 0x2821, 0xc0048ee, 0x2003021,
+0x3c020001, 0x521021, 0x8c4275c4, 0x3c040001,
+0x8c845488, 0x3c03bfff, 0x3463ffff, 0x3c010001,
+0xac335494, 0x431024, 0x3c010001, 0x320821,
+0x10930078, 0xac2275c4, 0x80050ac, 0x0,
+0x3c02ffec, 0x3442ffff, 0x2028024, 0x3c020008,
+0x2028025, 0x111140, 0x3c010001, 0x220821,
+0xac3075c8, 0x3c022000, 0x2021024, 0x10400009,
+0x0, 0x3c020001, 0x8c425514, 0x14400005,
+0x24020001, 0x3c010001, 0xac225538, 0x800504d,
+0x3c024000, 0x3c010001, 0xac205538, 0x3c024000,
+0x2021024, 0x1440001c, 0x0, 0x3c020001,
+0x8c425538, 0x10400007, 0x24022020, 0x3c010001,
+0xac22553c, 0x24020001, 0x3c010001, 0x370821,
+0xac2283ac, 0x3c04bfff, 0x111940, 0x3c020001,
+0x431021, 0x8c4275c0, 0x3c050001, 0x8ca55488,
+0x3484ffff, 0x441024, 0x3c010001, 0x230821,
+0xac2275c0, 0x24020001, 0x10a20044, 0x0,
+0x80050aa, 0x0, 0x3c020001, 0x8c425538,
+0x1040001c, 0x24022000, 0x3c010001, 0xac22553c,
+0x3c0300a0, 0x2031024, 0x14430005, 0x111140,
+0x3402a000, 0x3c010001, 0x80050a5, 0xac22553c,
+0x3c030001, 0x621821, 0x8c6375c8, 0x3c020020,
+0x621024, 0x10400004, 0x24022001, 0x3c010001,
+0x80050a5, 0xac22553c, 0x3c020080, 0x621024,
+0x1040001f, 0x3402a001, 0x3c010001, 0x80050a5,
+0xac22553c, 0x3c020020, 0x2021024, 0x10400007,
+0x111940, 0x24020100, 0x3c010001, 0x230821,
+0xac2275d4, 0x8005099, 0x3c020080, 0x111140,
+0x3c010001, 0x220821, 0xac2075d4, 0x3c020080,
+0x2021024, 0x10400006, 0x111940, 0x3c020001,
+0x3c010001, 0x230821, 0x80050a5, 0xac2275dc,
+0x111140, 0x3c010001, 0x220821, 0xac2075dc,
+0x3c030001, 0x8c635488, 0x24020001, 0x10620003,
+0x0, 0xc00429f, 0x0, 0x8fbf0030,
+0x8fb3002c, 0x8fb20028, 0x8fb10024, 0x8fb00020,
+0x3e00008, 0x27bd0038, 0x27bdffd0, 0xafb40028,
+0x80a021, 0xafb20020, 0x9021, 0xafb30024,
+0x9821, 0xafb1001c, 0x8821, 0x24020002,
+0xafbf002c, 0xafb00018, 0xa7a00012, 0x10a20068,
+0xa7a00010, 0x2ca20003, 0x10400005, 0x24020001,
+0x10a2000a, 0x148140, 0x8005176, 0x2201021,
+0x24020004, 0x10a2005e, 0x24020008, 0x10a2005d,
+0x142940, 0x8005176, 0x2201021, 0x3c030001,
+0x701821, 0x8c6375cc, 0x3c024000, 0x621024,
+0x14400009, 0x24040001, 0x3c027fff, 0x3442ffff,
+0x628824, 0x3c010001, 0x300821, 0xac3175c4,
+0x8005176, 0x2201021, 0x24050001, 0xc0048ac,
+0x27a60010, 0x24040001, 0x24050001, 0xc0048ac,
+0x27a60010, 0x97a20010, 0x30420004, 0x10400034,
+0x3c114000, 0x3c030001, 0x8c635550, 0x24020003,
+0x10620008, 0x2c620004, 0x14400029, 0x3c028000,
+0x24020004, 0x10620014, 0x24040001, 0x8005119,
+0x3c028000, 0x24040001, 0x24050011, 0x27b00012,
+0xc0048ac, 0x2003021, 0x24040001, 0x24050011,
+0xc0048ac, 0x2003021, 0x97a30012, 0x30624000,
+0x10400002, 0x3c130010, 0x3c130008, 0x3c120001,
+0x8005116, 0x30628000, 0x24050014, 0x27b00012,
+0xc0048ac, 0x2003021, 0x24040001, 0x24050014,
+0xc0048ac, 0x2003021, 0x97a30012, 0x30621000,
+0x10400002, 0x3c130010, 0x3c130008, 0x3c120001,
+0x30620800, 0x54400001, 0x3c120002, 0x3c028000,
+0x2221025, 0x2531825, 0x8005123, 0x438825,
+0x3c110001, 0x2308821, 0x8e3175cc, 0x3c027fff,
+0x3442ffff, 0x2228824, 0x141140, 0x3c010001,
+0x220821, 0xac3175c4, 0x8005176, 0x2201021,
+0x142940, 0x3c030001, 0x651821, 0x8c6375c8,
+0x3c024000, 0x621024, 0x14400008, 0x3c027fff,
+0x3442ffff, 0x628824, 0x3c010001, 0x250821,
+0xac3175c0, 0x8005176, 0x2201021, 0x3c020001,
+0x8c425498, 0x10400033, 0x3c11c00c, 0x3c020001,
+0x8c425514, 0x3c04c00c, 0x34842000, 0x3c030001,
+0x8c635538, 0x2102b, 0x21023, 0x441024,
+0x10600003, 0x518825, 0x3c022000, 0x2228825,
+0x3c020001, 0x451021, 0x8c4275d4, 0x10400003,
+0x3c020020, 0x8005153, 0x2228825, 0x3c02ffdf,
+0x3442ffff, 0x2228824, 0x141140, 0x3c010001,
+0x220821, 0x8c2275dc, 0x10400003, 0x3c020080,
+0x800515e, 0x2228825, 0x3c02ff7f, 0x3442ffff,
+0x2228824, 0x3c020001, 0x8c425500, 0x10400002,
+0x3c020800, 0x2228825, 0x3c020001, 0x8c425504,
+0x10400002, 0x3c020400, 0x2228825, 0x3c020001,
+0x8c425508, 0x10400006, 0x3c020100, 0x8005171,
+0x2228825, 0x3c027fff, 0x3442ffff, 0x628824,
+0x141140, 0x3c010001, 0x220821, 0xac3175c0,
+0x2201021, 0x8fbf002c, 0x8fb40028, 0x8fb30024,
+0x8fb20020, 0x8fb1001c, 0x8fb00018, 0x3e00008,
+0x27bd0030, 0x27bdffd8, 0xafb40020, 0x80a021,
+0xafbf0024, 0xafb3001c, 0xafb20018, 0xafb10014,
+0xafb00010, 0x8f900200, 0x3c030001, 0x8c635488,
+0x8f930220, 0x24020002, 0x106200b4, 0x2c620003,
+0x10400005, 0x24020001, 0x1062000a, 0x141940,
+0x8005240, 0x0, 0x24020004, 0x1062005a,
+0x24020008, 0x10620059, 0x149140, 0x8005240,
+0x0, 0x3c040001, 0x832021, 0x8c8475cc,
+0x3c110001, 0x2238821, 0x8e3175c4, 0x3c024000,
+0x821024, 0x1040003e, 0x3c020008, 0x2221024,
+0x10400020, 0x36100002, 0x3c020001, 0x431021,
+0x8c4275d0, 0x10400005, 0x36100020, 0x36100100,
+0x3c020020, 0x80051b5, 0x2228825, 0x2402feff,
+0x2028024, 0x3c02ffdf, 0x3442ffff, 0x2228824,
+0x141140, 0x3c010001, 0x220821, 0x8c2275d8,
+0x10400005, 0x3c020001, 0x2629825, 0x3c020080,
+0x80051d4, 0x2228825, 0x3c02fffe, 0x3442ffff,
+0x2629824, 0x3c02ff7f, 0x3442ffff, 0x80051d4,
+0x2228824, 0x2402fedf, 0x2028024, 0x3c02fffe,
+0x3442ffff, 0x2629824, 0x3c02ff5f, 0x3442ffff,
+0x2228824, 0x3c010001, 0x230821, 0xac2075d0,
+0x3c010001, 0x230821, 0xac2075d8, 0xc0047cc,
+0x0, 0xaf900200, 0xaf930220, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x34420002, 0xaf820220, 0x80051eb, 0x141140,
+0x8f820200, 0x2403fffd, 0x431024, 0xc0047cc,
+0xaf820200, 0x3c02bfff, 0x3442ffff, 0xc00429f,
+0x2228824, 0x141140, 0x3c010001, 0x220821,
+0x8005240, 0xac3175c4, 0x149140, 0x3c040001,
+0x922021, 0x8c8475c8, 0x3c110001, 0x2328821,
+0x8e3175c0, 0x3c024000, 0x821024, 0x14400011,
+0x0, 0x3c020001, 0x8c425538, 0x14400006,
+0x3c02bfff, 0x8f820200, 0x34420002, 0xc0047cc,
+0xaf820200, 0x3c02bfff, 0x3442ffff, 0xc00429f,
+0x2228824, 0x3c010001, 0x320821, 0x8005240,
+0xac3175c0, 0x3c020001, 0x8c425538, 0x10400005,
+0x3c020020, 0x3c020001, 0x8c425514, 0x1040002b,
+0x3c020020, 0x821024, 0x10400007, 0x36100020,
+0x24020100, 0x3c010001, 0x320821, 0xac2275d4,
+0x8005220, 0x36100100, 0x3c010001, 0x320821,
+0xac2075d4, 0x2402feff, 0x2028024, 0x3c020080,
+0x821024, 0x10400007, 0x141940, 0x3c020001,
+0x3c010001, 0x230821, 0xac2275dc, 0x8005231,
+0x2629825, 0x141140, 0x3c010001, 0x220821,
+0xac2075dc, 0x3c02fffe, 0x3442ffff, 0x2629824,
+0xc0047cc, 0x0, 0xaf900200, 0xaf930220,
+0x8f820220, 0x2403fffb, 0x431024, 0xaf820220,
+0x8f820220, 0x34420002, 0xaf820220, 0x141140,
+0x3c010001, 0x220821, 0xac3175c0, 0x8fbf0024,
+0x8fb40020, 0x8fb3001c, 0x8fb20018, 0x8fb10014,
+0x8fb00010, 0x3e00008, 0x27bd0028, 0x0 };
+static u_int32_t tigonFwRodata[] = {
+0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f66776d, 0x61696e2e, 0x632c7620, 0x312e312e,
+0x322e3131, 0x20313939, 0x382f3034, 0x2f323720,
+0x32323a31, 0x333a3432, 0x20736875, 0x616e6720,
+0x45787020, 0x24000000, 0x7468655f, 0x4441574e,
+0x0, 0x53544143, 0x4b5f3120, 0x0,
+0x42616453, 0x6e64526e, 0x67000000, 0x3f456e71,
+0x45767400, 0x3f6e6f51, 0x64457650, 0x0,
+0x6576526e, 0x6746756c, 0x6c000000, 0x496c6c43,
+0x6f6e6652, 0x78000000, 0x53656e64, 0x436b5375,
+0x6d000000, 0x52656376, 0x566c616e, 0x0,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f74696d, 0x65722e63, 0x2c762031, 0x2e312e32,
+0x2e382031, 0x3939382f, 0x30372f33, 0x31203137,
+0x3a35383a, 0x34352073, 0x6875616e, 0x67204578,
+0x70202400, 0x542d446d, 0x61526431, 0x0,
+0x542d446d, 0x61424200, 0x542d446d, 0x61320000,
+0x3f6e6f51, 0x64547845, 0x0, 0x3f6e6f51,
+0x64527845, 0x0, 0x656e714d, 0x45765046,
+0x61696c00, 0x656e714d, 0x45764661, 0x696c0000,
+0x6661696c, 0x456e454d, 0x0, 0x3f456e71,
+0x45767400, 0x3f6e6f51, 0x64457650, 0x0,
+0x6576526e, 0x6746756c, 0x6c000000, 0x0,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f636f6d, 0x6d616e64, 0x2e632c76, 0x20312e31,
+0x2e322e31, 0x30203139, 0x39382f31, 0x312f3138,
+0x2031373a, 0x31313a31, 0x38207368, 0x75616e67,
+0x20457870, 0x20240000, 0x3f4d626f, 0x78457674,
+0x0, 0x4e4f636f, 0x6d616e64, 0x0,
+0x68737465, 0x5f455252, 0x0, 0x412d4572,
+0x72427563, 0x0, 0x4552524f, 0x522d4164,
+0x64000000, 0x656e714d, 0x45765046, 0x61696c00,
+0x656e714d, 0x45764661, 0x696c0000, 0x6661696c,
+0x456e454d, 0x0, 0x442d4572, 0x724c6173,
+0x74000000, 0x442d4572, 0x72320000, 0x6d437374,
+0x4d644552, 0x52000000, 0x70726f6d, 0x4d644552,
+0x52000000, 0x46696c74, 0x4d644552, 0x52000000,
+0x636d645f, 0x45525200, 0x3f456e71, 0x45767400,
+0x3f6e6f51, 0x64457650, 0x0, 0x6576526e,
+0x6746756c, 0x6c000000, 0x0, 0x6ea0,
+0x7fbc, 0x6e38, 0x8734, 0x82b0,
+0x8780, 0x8780, 0x6f54, 0x7694,
+0x7f0c, 0x80a8, 0x8074, 0x8780,
+0x7e70, 0x80cc, 0x6e64, 0x81cc,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f646d61, 0x2e632c76, 0x20312e31, 0x2e322e33,
+0x20313939, 0x382f3034, 0x2f323720, 0x32323a31,
+0x333a3431, 0x20736875, 0x616e6720, 0x45787020,
+0x24000000, 0x646d6172, 0x6441544e, 0x0,
+0x646d6177, 0x7241544e, 0x0, 0x0,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f747261, 0x63652e63, 0x2c762031, 0x2e312e32,
+0x2e322031, 0x3939382f, 0x30342f32, 0x37203232,
+0x3a31333a, 0x35302073, 0x6875616e, 0x67204578,
+0x70202400, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f646174, 0x612e632c, 0x7620312e, 0x312e322e,
+0x32203139, 0x39382f30, 0x342f3237, 0x2032323a,
+0x31333a34, 0x30207368, 0x75616e67, 0x20457870,
+0x20240000, 0x46575f56, 0x45525349, 0x4f4e3a20,
+0x23312057, 0x6564204d, 0x61722033, 0x31203130,
+0x3a31323a, 0x35322050, 0x53542031, 0x39393900,
+0x46575f43, 0x4f4d5049, 0x4c455f54, 0x494d453a,
+0x2031303a, 0x31323a35, 0x32000000, 0x46575f43,
+0x4f4d5049, 0x4c455f42, 0x593a2064, 0x65767263,
+0x73000000, 0x46575f43, 0x4f4d5049, 0x4c455f48,
+0x4f53543a, 0x20636f6d, 0x70757465, 0x0,
+0x46575f43, 0x4f4d5049, 0x4c455f44, 0x4f4d4149,
+0x4e3a2065, 0x6e672e61, 0x6374656f, 0x6e2e636f,
+0x6d000000, 0x46575f43, 0x4f4d5049, 0x4c45523a,
+0x20676363, 0x20766572, 0x73696f6e, 0x20322e37,
+0x2e320000, 0x0, 0x0, 0x0,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f6d656d, 0x2e632c76, 0x20312e31, 0x2e322e32,
+0x20313939, 0x382f3034, 0x2f323720, 0x32323a31,
+0x333a3434, 0x20736875, 0x616e6720, 0x45787020,
+0x24000000, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f73656e, 0x642e632c, 0x7620312e, 0x312e322e,
+0x31312031, 0x3939382f, 0x31322f32, 0x32203137,
+0x3a31373a, 0x35352073, 0x6875616e, 0x67204578,
+0x70202400, 0x736e6464, 0x654e6f51, 0x20000000,
+0x6e6f454e, 0x515f5458, 0x0, 0x736e6464,
+0x744e6f51, 0x20000000, 0x3f6e6f51, 0x64547845,
+0x0, 0x756e6b72, 0x64747970, 0x65000000,
+0x0, 0xacdc, 0xacdc, 0xadac,
+0xaac0, 0xaac0, 0xadac, 0xadac,
+0xadac, 0xadac, 0xadac, 0xadac,
+0xadac, 0xadac, 0xadac, 0xadac,
+0xadac, 0xadac, 0xadac, 0xad8c,
+0x0, 0xbcb8, 0xbcb8, 0xbd80,
+0xae5c, 0xb068, 0xbd80, 0xbd80,
+0xbd80, 0xbd80, 0xbd80, 0xbd80,
+0xbd80, 0xbd80, 0xbd80, 0xbd80,
+0xbd80, 0xbd80, 0xbd80, 0xbd64,
+0xb050, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f726563, 0x762e632c, 0x7620312e, 0x312e322e,
+0x31392031, 0x3939382f, 0x30372f32, 0x34203231,
+0x3a33303a, 0x30352073, 0x6875616e, 0x67204578,
+0x70202400, 0x706b5278, 0x45525200, 0x66726d32,
+0x4c617267, 0x65000000, 0x72784e6f, 0x52784264,
+0x0, 0x72785144, 0x6d614446, 0x0,
+0x72785144, 0x6d614246, 0x0, 0x3f6e6f51,
+0x64527845, 0x0, 0x706b5278, 0x45525273,
+0x0, 0x66726d32, 0x4c726753, 0x0,
+0x72784e6f, 0x42645300, 0x3f724264, 0x446d6146,
+0x0, 0x3f724a42, 0x64446d46, 0x0,
+0x0, 0xf688, 0xf688, 0xf688,
+0xf688, 0xf688, 0xf688, 0xf688,
+0xf688, 0xf688, 0xf688, 0xf688,
+0xf688, 0xf688, 0xf688, 0xf688,
+0xf680, 0xf680, 0xf680, 0x572d444d,
+0x41456e46, 0x0, 0x0, 0xfdd0,
+0x1016c, 0xfdec, 0x1016c, 0x1016c,
+0x1016c, 0x1016c, 0x1016c, 0x1016c,
+0xf714, 0x1016c, 0x1016c, 0x1016c,
+0x1016c, 0x1016c, 0x10164, 0x10164,
+0x10164, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f6d6163, 0x2e632c76, 0x20312e31, 0x2e322e31,
+0x32203139, 0x39382f30, 0x342f3237, 0x2032323a,
+0x31333a34, 0x32207368, 0x75616e67, 0x20457870,
+0x20240000, 0x6d616374, 0x7841544e, 0x0,
+0x4e745379, 0x6e264c6b, 0x0, 0x72656d61,
+0x73737274, 0x0, 0x6c696e6b, 0x444f574e,
+0x0, 0x656e714d, 0x45765046, 0x61696c00,
+0x656e714d, 0x45764661, 0x696c0000, 0x6661696c,
+0x456e454d, 0x0, 0x6c696e6b, 0x55500000,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f636b73, 0x756d2e63, 0x2c762031, 0x2e312e32,
+0x2e322031, 0x3939382f, 0x30342f32, 0x37203232,
+0x3a31333a, 0x33392073, 0x6875616e, 0x67204578,
+0x70202400, 0x50726f62, 0x65506879, 0x0,
+0x6c6e6b41, 0x53535254, 0x0, 0x11994,
+0x119cc, 0x119e4, 0x11a18, 0x11a44,
+0x11a58, 0x11a94, 0x11e04, 0x11b6c,
+0x11bac, 0x11bd8, 0x11c18, 0x11c48,
+0x11c84, 0x11cb8, 0x11e04, 0x12048,
+0x12060, 0x12088, 0x120a8, 0x120d0,
+0x12200, 0x12228, 0x1227c, 0x122a4,
+0x0, 0x1250c, 0x125dc, 0x126b4,
+0x12784, 0x127e0, 0x128bc, 0x128e4,
+0x129c0, 0x129e8, 0x12b90, 0x12bb8,
+0x12d60, 0x12f58, 0x131ec, 0x13100,
+0x131ec, 0x13218, 0x12d88, 0x12f30,
+0x0, 0x13604, 0x13648, 0x136e0,
+0x1372c, 0x1379c, 0x13834, 0x13868,
+0x138f0, 0x13988, 0x13a58, 0x13a98,
+0x13b1c, 0x13b40, 0x13c74, 0x646f4261,
+0x73655067, 0x0, 0x0, 0x0,
+0x0, 0x73746d61, 0x634c4e4b, 0x0,
+0x0, 0x0 };
+static u_int32_t tigonFwData[] = {
+0x416c7465,
+0x6f6e2041, 0x63654e49, 0x43205600, 0x416c7465,
+0x6f6e2041, 0x63654e49, 0x43205600, 0x42424242,
+0x0, 0x0, 0x0, 0x135418,
+0x13e7fc, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x60cf00,
+0x60, 0xcf000000, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x3, 0x0,
+0x1, 0x0, 0x0, 0x1,
+0x0, 0x0, 0x0, 0x1,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x1000000, 0x21000000, 0x12000140,
+0x0, 0x0, 0x20000000, 0x120000a0,
+0x0, 0x12000060, 0x12000180, 0x120001e0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x2,
+0x0, 0x0, 0x30001, 0x1,
+0x30201, 0x0, 0x0, 0x0 };
diff --git a/sys/dev/ti/ti_fw2.h b/sys/dev/ti/ti_fw2.h
new file mode 100644
index 0000000..56a4c86
--- /dev/null
+++ b/sys/dev/ti/ti_fw2.h
@@ -0,0 +1,4362 @@
+/*
+ * Generated by genfw.c
+ * Built on Thu Apr 1 21:46:46 1999 by wpaul@wormhole.ee.columbia.edu
+ * OS: FreeBSD 3.0-19981103-SNAP
+ *
+ * $Id: genfw.c,v 1.2 1999/03/18 17:16:43 wpaul Exp $
+ */
+static int tigon2FwReleaseMajor = 0xc;
+static int tigon2FwReleaseMinor = 0x3;
+static int tigon2FwReleaseFix = 0x9;
+static u_int32_t tigon2FwStartAddr = 0x00004000;
+static u_int32_t tigon2FwTextAddr = 0x00004000;
+static int tigon2FwTextLen = 0xfce0;
+static u_int32_t tigon2FwRodataAddr = 0x00013ce0;
+static int tigon2FwRodataLen = 0x10a0;
+static u_int32_t tigon2FwDataAddr = 0x00014f60;
+static int tigon2FwDataLen = 0x170;
+static u_int32_t tigon2FwSbssAddr = 0x000150d0;
+static int tigon2FwSbssLen = 0xbc;
+static u_int32_t tigon2FwBssAddr = 0x00015190;
+static int tigon2FwBssLen = 0x20c0;
+static u_int32_t tigon2FwText[] = {
+0x0,
+0x10000003, 0x0, 0xd, 0xd,
+0x3c1d0001, 0x8fbd4fb0, 0x3a0f021, 0x3c100000,
+0x26104000, 0xc001084, 0x0, 0xd,
+0x3c1d0001, 0x8fbd4fb4, 0x3a0f021, 0x3c100000,
+0x26104000, 0xc001971, 0x0, 0xd,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x2000008,
+0x0, 0x8001913, 0x3c0a0001, 0x8001913,
+0x3c0a0002, 0x8001913, 0x0, 0x8002ca6,
+0x0, 0x8002c34, 0x0, 0x8001913,
+0x3c0a0004, 0x8003d44, 0x0, 0x8001add,
+0x0, 0x80039f0, 0x0, 0x8003982,
+0x0, 0x8001913, 0x3c0a0006, 0x8003a73,
+0x3c0a0007, 0x8001913, 0x3c0a0008, 0x8001913,
+0x3c0a0009, 0x8003ae0, 0x0, 0x8002e9a,
+0x0, 0x8001913, 0x3c0a000b, 0x8001913,
+0x3c0a000c, 0x8001913, 0x3c0a000d, 0x80027e4,
+0x0, 0x800277a, 0x0, 0x8001913,
+0x3c0a000e, 0x8002030, 0x0, 0x80019f8,
+0x0, 0x8001a92, 0x0, 0x8003d84,
+0x0, 0x8003fd8, 0x0, 0x8001913,
+0x0, 0x80019a0, 0x0, 0x8001913,
+0x0, 0x8001913, 0x3c0a0013, 0x8001913,
+0x3c0a0014, 0x0, 0x0, 0x27bdffe0,
+0x3c1cc000, 0xafbf001c, 0xafb00018, 0x8f820140,
+0x24030003, 0xaf8300ec, 0x34420004, 0xc002b78,
+0xaf820140, 0x3c0100c0, 0xc0018f1, 0xac203ffc,
+0x403021, 0x3c020008, 0x3c010001, 0xac2650e8,
+0x10c2001f, 0x3c100010, 0x10d0001d, 0x24050100,
+0x3c040001, 0x24843d94, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x3c040001, 0x24843da0,
+0x24020235, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e73da8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x3c010001, 0xac3050e8, 0x431025, 0xaf820140,
+0x3c020003, 0x34422000, 0x3c010001, 0xac2250f8,
+0x24020008, 0x3c010001, 0xac225100, 0x2402001f,
+0x3c010001, 0xac225110, 0x24020016, 0x3c010001,
+0xac2250e4, 0x3c05fffe, 0x34a56f08, 0x3c020001,
+0x8c4250e8, 0x3c030001, 0x24637250, 0x3c040001,
+0x8c844f64, 0x431023, 0x14800002, 0x458021,
+0x2610fa48, 0x2402f000, 0x2028024, 0xc00187f,
+0x2002021, 0x2022823, 0x3c040020, 0x821823,
+0x651823, 0x247bb000, 0x3c03fffe, 0x3463bf08,
+0x363b821, 0x3c0600bf, 0x34c6f000, 0x3c070001,
+0x8ce74f60, 0x3c0300bf, 0x3463e000, 0x852023,
+0x3c010001, 0xac2450f4, 0x822023, 0x3c010001,
+0xac2250d0, 0x27620ffc, 0x3c010001, 0xac224fb0,
+0x27621ffc, 0xdb3023, 0x7b1823, 0x3c010001,
+0xac2550dc, 0x3c010001, 0xac2450d4, 0x3c010001,
+0xac224fb4, 0xaf860150, 0xaf830250, 0x10e00026,
+0x33620fff, 0x10400014, 0x24020264, 0x3c040001,
+0x24843da0, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e73da8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x3c1d0001, 0x8fbd4f6c,
+0x3a0f021, 0xc0018a9, 0x0, 0x3c020001,
+0x8c424f70, 0x3c030001, 0x8c634f74, 0x2442fe00,
+0x24630200, 0x3c010001, 0xac224f70, 0x3c010001,
+0x10000004, 0xac234f74, 0x3c1d0001, 0x8fbd4fb0,
+0x3a0f021, 0x3c020001, 0x8c424f64, 0x1040000c,
+0x26fafa48, 0x3c1a0001, 0x8f5a4f74, 0x3c020001,
+0x8c424f70, 0x3401821, 0x2442fa48, 0x246305b8,
+0x3c010001, 0xac224f70, 0x3c010001, 0xac234f74,
+0x3c020001, 0x8c424f68, 0x14400003, 0x0,
+0x3c010001, 0xac204f70, 0xc001139, 0x0,
+0x8fbf001c, 0x8fb00018, 0x3e00008, 0x27bd0020,
+0x3c020001, 0x8c424f70, 0x3c030001, 0x8c634f74,
+0x27bdffa0, 0xafb00040, 0x3c100001, 0x8e104758,
+0x3c040001, 0x24843db4, 0xafbf0058, 0xafbe0054,
+0xafb50050, 0xafb3004c, 0xafb20048, 0xafb10044,
+0xafa20034, 0xafa30030, 0xafa00010, 0xafa00014,
+0x8f860040, 0x24050200, 0xc002bdf, 0x2003821,
+0x8f830040, 0x3c02f000, 0x621824, 0x3c026000,
+0x1062001f, 0xa3a00038, 0x3c040001, 0x24843dbc,
+0xafa00010, 0xafa00014, 0x8f860040, 0x24050300,
+0xc002bdf, 0x2003821, 0x3c040001, 0x24843da0,
+0x240202ba, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e73da8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x240e0001,
+0x3c030001, 0xa3ae0038, 0x431025, 0xaf820140,
+0x8f820240, 0x3c030001, 0x431025, 0xaf820240,
+0xaf800048, 0x8f820048, 0x14400005, 0x0,
+0xaf800048, 0x8f820048, 0x10400004, 0x0,
+0xaf800048, 0x10000003, 0x2e02021, 0xaf80004c,
+0x2e02021, 0x3c050001, 0xc002c10, 0x34a540f8,
+0x3402021, 0xc002c10, 0x240505b8, 0x3c020001,
+0x8c4250f4, 0x3c040001, 0x24843dc8, 0x24050400,
+0x3c0d0001, 0x8dad50d4, 0x3c030001, 0x8c6350d0,
+0x3c080001, 0x8d0850dc, 0x3c090001, 0x8d2950f8,
+0x3c0a0001, 0x8d4a5100, 0x3c0b0001, 0x8d6b5110,
+0x3c0c0001, 0x8d8c50e4, 0x24060001, 0xc03821,
+0xaf400000, 0xaf420130, 0x2442ff80, 0xaf420134,
+0xc01021, 0xaf4d012c, 0xaf430138, 0xaf48013c,
+0xaf490140, 0xaf4a0144, 0xaf4b0148, 0xaf4c014c,
+0xafa20010, 0xc002bdf, 0xafa00014, 0x8f42012c,
+0xafa20010, 0x8f420130, 0xafa20014, 0x8f460138,
+0x8f47013c, 0x3c040001, 0x24843dd4, 0xc002bdf,
+0x24050500, 0xafb70010, 0xafba0014, 0x8f460140,
+0x8f470144, 0x3c040001, 0x24843de0, 0xc002bdf,
+0x24050600, 0x3c020001, 0x8c4250e8, 0x3603821,
+0x3c060001, 0x24c67250, 0x2448ffff, 0x1061824,
+0xe81024, 0x43102b, 0x1040001a, 0x24050900,
+0x3c040001, 0x24843dec, 0xafa80010, 0xc002bdf,
+0xafa00014, 0x3c040001, 0x24843da0, 0x24020313,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e73da8, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x8f82000c, 0xafa20010, 0x8f82003c,
+0xafa20014, 0x8f860000, 0x8f870004, 0x3c040001,
+0x24843df8, 0xc002bdf, 0x24051000, 0x8021,
+0x8e020220, 0x8e030224, 0x8e060218, 0x8e07021c,
+0x3c040001, 0x24843e00, 0x24051100, 0xafa20010,
+0xc002bdf, 0xafa30014, 0xaf800054, 0xaf80011c,
+0x8e020218, 0x30440002, 0x10800009, 0x0,
+0x8e020220, 0x3c030002, 0x34630004, 0x431025,
+0xaf42000c, 0x8e02021c, 0x10000008, 0x34420004,
+0x8c820220, 0x3c030002, 0x34630006, 0x431025,
+0xaf42000c, 0x8c82021c, 0x34420006, 0xaf420014,
+0x1821, 0x8c620218, 0x30440010, 0x1080000a,
+0x0, 0x8c62021c, 0x34420004, 0xaf420010,
+0x8c620220, 0x3c03000a, 0x34630004, 0x431025,
+0x10000009, 0xaf420008, 0x8c820220, 0x3c03000a,
+0x34630006, 0x431025, 0xaf420008, 0x8c82021c,
+0x34420006, 0xaf420010, 0x24020001, 0xaf8200a0,
+0xaf8200b0, 0x8f830054, 0x8f820054, 0x10000002,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x1021, 0x8c440208, 0x8c45020c,
+0x26e20028, 0xaee20020, 0x24020490, 0xaee20010,
+0xaee40008, 0xaee5000c, 0x26e40008, 0x8c820000,
+0x8c830004, 0xaf820090, 0xaf830094, 0x8c820018,
+0xaf8200b4, 0x9482000a, 0xaf82009c, 0x8f8200b0,
+0x8f430014, 0x431025, 0xaf8200b0, 0x8f8200b0,
+0x30420004, 0x1440fffd, 0x24051200, 0x96e20472,
+0x96e60452, 0x96e70462, 0xafa20010, 0x96e20482,
+0x3c040001, 0x24843e08, 0xc002bdf, 0xafa20014,
+0x96f00452, 0x32020001, 0x10400002, 0xb021,
+0x24160001, 0x32020002, 0x54400001, 0x36d60002,
+0x32020008, 0x54400001, 0x36d60004, 0x32020010,
+0x54400001, 0x36d60008, 0x32020020, 0x54400001,
+0x36d60010, 0x32020040, 0x54400001, 0x36d60020,
+0x32020080, 0x54400001, 0x36d60040, 0x96e60482,
+0x30c20200, 0x54400001, 0x36d64000, 0x96e30472,
+0x30620200, 0x10400003, 0x30620100, 0x10000003,
+0x36d62000, 0x54400001, 0x36d61000, 0x96f00462,
+0x32c24000, 0x14400004, 0x3207009b, 0x30c2009b,
+0x14e20007, 0x0, 0x32c22000, 0x14400022,
+0x32020001, 0x3062009b, 0x10e2001f, 0x32020001,
+0x3c040001, 0x24843e14, 0x24051300, 0x2003821,
+0xafa30010, 0xc002bdf, 0xafa00014, 0x3c040001,
+0x24843da0, 0x240203bc, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e73da8, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x240f0001, 0x3c030001, 0xa3af0038, 0x431025,
+0xaf820140, 0x32020001, 0x54400001, 0x36d60080,
+0x32020002, 0x54400001, 0x36d60100, 0x32020008,
+0x54400001, 0x36d60200, 0x32020010, 0x54400001,
+0x36d60400, 0x32020080, 0x54400001, 0x36d60800,
+0x8021, 0x8e020218, 0x30420200, 0x10400002,
+0x3c020008, 0x2c2b025, 0x8e020218, 0x30420800,
+0x10400002, 0x3c020080, 0x2c2b025, 0x8e020218,
+0x30420400, 0x10400002, 0x3c020100, 0x2c2b025,
+0x8e020218, 0x30420100, 0x10400002, 0x3c020200,
+0x2c2b025, 0x8e020218, 0x30420080, 0x10400002,
+0x3c020400, 0x2c2b025, 0x8e020218, 0x30422000,
+0x10400002, 0x3c020010, 0x2c2b025, 0x8e020218,
+0x30424000, 0x10400002, 0x3c020020, 0x2c2b025,
+0x8e020218, 0x30421000, 0x10400002, 0x3c020040,
+0x2c2b025, 0x8ee20498, 0x8ee3049c, 0xaf420150,
+0xaf430154, 0x8ee204a0, 0x8ee304a4, 0xaf420158,
+0xaf43015c, 0x8ee204a8, 0x8ee304ac, 0xaf420160,
+0xaf430164, 0x8ee20428, 0x8ee3042c, 0xaf420168,
+0xaf43016c, 0x8ee20448, 0x8ee3044c, 0xaf420170,
+0xaf430174, 0x8ee20458, 0x8ee3045c, 0xaf420178,
+0xaf43017c, 0x8ee20468, 0x8ee3046c, 0xaf420180,
+0xaf430184, 0x8ee20478, 0x8ee3047c, 0xaf420188,
+0xaf43018c, 0x8ee20488, 0x8ee3048c, 0xaf420190,
+0xaf430194, 0x8ee204b0, 0x8ee304b4, 0x24040080,
+0xaf420198, 0xaf43019c, 0xc002c10, 0x802821,
+0x8e02025c, 0x27440214, 0xaf4201e0, 0x8e020260,
+0x24050200, 0x24060008, 0xc002c1b, 0xaf4201e8,
+0x3c043b9a, 0x3484ca00, 0x3821, 0x24020006,
+0x24030002, 0xaf4201e4, 0x240203e8, 0xaf4301f4,
+0xaf4301f0, 0xaf4401ec, 0xaf420284, 0x24020001,
+0xaf430280, 0xaf42028c, 0x3c030001, 0x671821,
+0x90634f78, 0x3471021, 0x24e70001, 0xa043021c,
+0x2ce2000f, 0x1440fff8, 0x3471821, 0x24e70001,
+0x3c080001, 0x350840f8, 0x8f820040, 0x3c040001,
+0x24843e20, 0x24051400, 0xa821, 0x21702,
+0x24420030, 0xa062021c, 0x3471021, 0xa040021c,
+0x8ea70218, 0x2c03021, 0x240205b8, 0xafa20010,
+0xc002bdf, 0xafa80014, 0x3c040001, 0x24843e2c,
+0x3c050000, 0x24a55df0, 0x24060010, 0x27b10030,
+0x2203821, 0x27b30034, 0xc001934, 0xafb30010,
+0x3c030001, 0x8c634f68, 0x1060000a, 0x408021,
+0x8fa30030, 0x2405ff00, 0x8fa20034, 0x246400ff,
+0x852024, 0x831823, 0x431023, 0xafa20034,
+0xafa40030, 0xafb30010, 0x3c040001, 0x24843e38,
+0x3c050000, 0x24a54100, 0x24060108, 0xc001934,
+0x2203821, 0x409021, 0x32c20003, 0x50400058,
+0x2203821, 0x8f820050, 0x3c030010, 0x431024,
+0x10400029, 0x0, 0x8ea20218, 0x30420040,
+0x10400022, 0x24020001, 0x8f820050, 0x8ea30218,
+0x3c040001, 0x24843e44, 0xafa20010, 0xafa30014,
+0x8f870040, 0x24051500, 0xc002bdf, 0x2c03021,
+0x3c040001, 0x24843da0, 0x2402042e, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x24180001, 0x3c030001, 0xa3b80038,
+0x431025, 0x10000004, 0xaf820140, 0x3c010001,
+0x370821, 0xa02240f4, 0x3c040001, 0x24843e50,
+0x3c050001, 0x24a5ab00, 0x3c060001, 0x24c6ac1c,
+0xc53023, 0x8f420010, 0x27b30030, 0x2603821,
+0x27b10034, 0x34420a00, 0xaf420010, 0xc001934,
+0xafb10010, 0x3c040001, 0x24843e64, 0x3c050001,
+0x24a5b700, 0x3c060001, 0x24c6cc3c, 0xc53023,
+0x2603821, 0xaf420108, 0xc001934, 0xafb10010,
+0x3c040001, 0x24843e80, 0x3c050001, 0x24a5bf20,
+0x3c060001, 0x24c6cc24, 0xc53023, 0x2603821,
+0x3c010001, 0xac225140, 0xc001934, 0xafb10010,
+0x3c040001, 0x24843e98, 0x10000024, 0x24051600,
+0x3c040001, 0x24843ea0, 0x3c050001, 0x24a5ac24,
+0x3c060001, 0x24c6adc8, 0xc53023, 0xc001934,
+0xafb30010, 0x3c040001, 0x24843eb0, 0x3c050001,
+0x24a5b298, 0x3c060001, 0x24c6cc44, 0xc53023,
+0x2203821, 0xaf420108, 0xc001934, 0xafb30010,
+0x3c040001, 0x24843ec4, 0x3c050001, 0x24a5ba68,
+0x3c060001, 0x24c6cc2c, 0xc53023, 0x2203821,
+0x3c010001, 0xac225140, 0xc001934, 0xafb30010,
+0x3c040001, 0x24843ed8, 0x24051650, 0x2c03021,
+0x3821, 0x3c010001, 0xac225144, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x32c20020, 0x10400022,
+0x27a70030, 0x3c040001, 0x24843ee4, 0x3c050001,
+0x24a5b0d0, 0x3c060001, 0x24c6cc34, 0xc53023,
+0x24022000, 0xaf42001c, 0x27a20034, 0xc001934,
+0xafa20010, 0x3c030fff, 0x3463ffff, 0x431824,
+0x31882, 0x3c040800, 0x641825, 0xae430028,
+0x24030010, 0xaf43003c, 0x96e30450, 0x3c040001,
+0x24843ef8, 0xaf430040, 0xafa00014, 0xafa30010,
+0x8f47001c, 0x24051660, 0x3c010001, 0xac22513c,
+0x10000039, 0x32c60020, 0x8ee2044c, 0xaf42001c,
+0x2442e000, 0x2c422001, 0x14400020, 0x3c030fff,
+0x3c040001, 0x24843f04, 0xafa00010, 0xafa00014,
+0x8f46001c, 0x24051700, 0xc002bdf, 0x3821,
+0x3c040001, 0x24843da0, 0x24020497, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x24190001, 0x3c030001, 0xa3b90038,
+0x431025, 0xaf820140, 0x3c030fff, 0x3463ffff,
+0x3c020000, 0x2442644c, 0x431024, 0x21082,
+0x3c030800, 0x431025, 0xae420028, 0x24020008,
+0xaf42003c, 0x96e20450, 0x3c040001, 0x24843f10,
+0xaf420040, 0xafa00014, 0xafa20010, 0x8f47001c,
+0x24051800, 0x32c60020, 0xc002bdf, 0x0,
+0x3c030001, 0x8c635140, 0x3c050fff, 0x34a5ffff,
+0x3c020001, 0x8c425144, 0x3c040800, 0x651824,
+0x31882, 0x641825, 0x451024, 0x21082,
+0x441025, 0xae420080, 0x32c20180, 0x1040007d,
+0xae430020, 0x8f82005c, 0x3c030080, 0x431024,
+0x10400021, 0x0, 0x8f820050, 0xafa20010,
+0x8f82005c, 0x3c040001, 0x24843f1c, 0xafa20014,
+0x8f870040, 0x24051900, 0xc002bdf, 0x2c03021,
+0x3c040001, 0x24843da0, 0x240204b8, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x240e0001, 0x3c030001, 0xa3ae0038,
+0x431025, 0xaf820140, 0x8f820050, 0x3c030010,
+0x431024, 0x10400029, 0x1821, 0x8c620218,
+0x30420040, 0x10400022, 0x24020001, 0x8f820050,
+0x8c630218, 0x3c040001, 0x24843e44, 0xafa20010,
+0xafa30014, 0x8f870040, 0x24052000, 0xc002bdf,
+0x2c03021, 0x3c040001, 0x24843da0, 0x240204c6,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e73da8, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x240f0001, 0x3c030001,
+0xa3af0038, 0x431025, 0x10000004, 0xaf820140,
+0x3c010001, 0x370821, 0xa02240f4, 0x3c040001,
+0x24843f28, 0x3c050001, 0x24a5a814, 0x3c060001,
+0x24c6a93c, 0xc53023, 0x8f420008, 0x27b30030,
+0x2603821, 0x27b10034, 0x34420e00, 0xaf420008,
+0xc001934, 0xafb10010, 0x3c040001, 0x24843f40,
+0x3c050001, 0x24a5daa8, 0x3c060001, 0x24c6f500,
+0xc53023, 0x2603821, 0xaf42010c, 0xc001934,
+0xafb10010, 0x3c040001, 0x24843f58, 0x3c050001,
+0x24a5eda0, 0x3c060001, 0x24c6f4f0, 0xc53023,
+0x2603821, 0x3c010001, 0xac225150, 0xc001934,
+0xafb10010, 0x3c040001, 0x24843f70, 0x10000027,
+0x24052100, 0x3c040001, 0x24843f78, 0x3c050001,
+0x24a5a944, 0x3c060001, 0x24c6aaf8, 0xc53023,
+0x27b10030, 0x2203821, 0x27b30034, 0xc001934,
+0xafb30010, 0x3c040001, 0x24843f88, 0x3c050001,
+0x24a5cc50, 0x3c060001, 0x24c6f508, 0xc53023,
+0x2203821, 0xaf42010c, 0xc001934, 0xafb30010,
+0x3c040001, 0x24843f98, 0x3c050001, 0x24a5eb80,
+0x3c060001, 0x24c6f4f8, 0xc53023, 0x2203821,
+0x3c010001, 0xac225150, 0xc001934, 0xafb30010,
+0x3c040001, 0x24843fac, 0x24052150, 0x2c03021,
+0x3821, 0x3c010001, 0xac22515c, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x3c030001, 0x8c635150,
+0x3c110fff, 0x3631ffff, 0x3c020001, 0x8c42515c,
+0x3c1e0800, 0x711824, 0x31882, 0x7e1825,
+0x511024, 0x21082, 0x5e1025, 0xae420078,
+0x1021, 0xae430038, 0x8c420218, 0x30420040,
+0x14400004, 0x24020001, 0x3c010001, 0x370821,
+0xa02240f4, 0x3c040001, 0x24843fb8, 0x3c050001,
+0x24a5e608, 0x3c060001, 0x24c6f5b8, 0xc53023,
+0x27b50030, 0x2a03821, 0x27b30034, 0xc001934,
+0xafb30010, 0x3c010001, 0xac225148, 0x511024,
+0x21082, 0x5e1025, 0xae420050, 0x32c22000,
+0x10400005, 0x2a03821, 0x3c020000, 0x2442644c,
+0x1000000d, 0x511024, 0x3c040001, 0x24843fcc,
+0x3c050001, 0x24a5e7c0, 0x3c060001, 0x24c6f5c0,
+0xc53023, 0xc001934, 0xafb30010, 0x3c010001,
+0xac225160, 0x511024, 0x21082, 0x5e1025,
+0xae420048, 0x32c24000, 0x1040000a, 0x3c020fff,
+0x3442ffff, 0x3c030000, 0x2463644c, 0x621824,
+0x31882, 0x3c020800, 0x621825, 0x10000015,
+0xae430060, 0x3c040001, 0x24843fe4, 0x3c050001,
+0x24a5e9cc, 0x3c060001, 0x24c6f5c8, 0xc53023,
+0x27a70030, 0x27a20034, 0xc001934, 0xafa20010,
+0x3c030fff, 0x3463ffff, 0x3c010001, 0xac225154,
+0x431024, 0x21082, 0x3c030800, 0x431025,
+0xae420060, 0x3c040001, 0x24843ffc, 0x3c050001,
+0x24a580c0, 0x3c060001, 0x24c690b0, 0xc53023,
+0x27b10030, 0x2203821, 0x27b30034, 0xc001934,
+0xafb30010, 0x3c1e0fff, 0x37deffff, 0x3c040001,
+0x24844008, 0x3c050000, 0x24a56680, 0x3c060000,
+0x24c67fc0, 0xc53023, 0x2203821, 0x3c010001,
+0xac225128, 0x5e1024, 0x21082, 0x3c150800,
+0x551025, 0xae4200b8, 0xc001934, 0xafb30010,
+0x3c040001, 0x24844014, 0x3c050000, 0x24a567e0,
+0x3c060000, 0x24c67fb8, 0xc53023, 0x2203821,
+0x3c010001, 0xac22511c, 0x5e1024, 0x21082,
+0x551025, 0xae4200e8, 0xc001934, 0xafb30010,
+0x3c040001, 0x2484402c, 0x3c050000, 0x24a56a48,
+0x3c060000, 0x24c67fb0, 0xc53023, 0x2203821,
+0x3c010001, 0xac225114, 0x5e1024, 0x21082,
+0x551025, 0xae4200c0, 0xc001934, 0xafb30010,
+0x3c040001, 0x24844044, 0x3c050001, 0x24a502cc,
+0x3c060001, 0x24c603a4, 0xc53023, 0x2203821,
+0x3c010001, 0xac225120, 0x5e1024, 0x21082,
+0x551025, 0xae4200c8, 0xc001934, 0xafb30010,
+0x3c040001, 0x24844050, 0x3c050001, 0x24a5f5d0,
+0x3c060001, 0x24c6f604, 0xc53023, 0x2203821,
+0xaf420110, 0xc001934, 0xafb30010, 0x3c040001,
+0x24844060, 0x3c050001, 0x24a5f4c4, 0x3c060001,
+0x24c6f4e8, 0xc53023, 0x2203821, 0xaf420114,
+0xc001934, 0xafb30010, 0x3c040001, 0x2484406c,
+0x3c050001, 0x24a5f610, 0x3c060001, 0x24c6ff58,
+0xc53023, 0x2203821, 0xaf420118, 0xc001934,
+0xafb30010, 0x3c010001, 0xac225164, 0x5e1024,
+0x21082, 0x551025, 0xc004160, 0xae4200d0,
+0xc003fe9, 0x0, 0xc002730, 0x0,
+0x1021, 0xac400228, 0xac40022c, 0x96e20450,
+0x2442ffff, 0xaf420038, 0x96e20460, 0xaf420080,
+0x32c24000, 0x14400003, 0x0, 0x96e20480,
+0xaf420084, 0x96e70490, 0x50e00001, 0x24070800,
+0x24e2ffff, 0xaf420088, 0xaf42007c, 0x24020800,
+0x10e20023, 0x32c24000, 0x10400003, 0x24020400,
+0x10e2001f, 0x0, 0x3c040001, 0x2484407c,
+0x96e60490, 0x24052170, 0x2c03821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x3c040001, 0x24843da0,
+0x240205a3, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e73da8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x24180001,
+0x3c030001, 0xa3b80038, 0x431025, 0xaf820140,
+0x8f43012c, 0x24020001, 0xa34205b3, 0x602021,
+0xaf430094, 0xaf440098, 0xafa00010, 0xafa00014,
+0x8f460080, 0x8f470084, 0x3c040001, 0x24844088,
+0xc002bdf, 0x24052200, 0xc002715, 0x3c110800,
+0x3c020800, 0x34420080, 0x3c040001, 0x24844094,
+0x3c050000, 0x24a56270, 0x3c060000, 0x24c6628c,
+0xc53023, 0x27a70030, 0xaf820060, 0x2402ffff,
+0xaf820064, 0x27a20034, 0x3c1433d8, 0x3694cb58,
+0xc001934, 0xafa20010, 0x3c030fff, 0x3463ffff,
+0x3c010001, 0xac225104, 0x431024, 0x21082,
+0x511025, 0xc001ff6, 0xae420000, 0x8f820240,
+0x3c030001, 0x431025, 0xaf820240, 0x3c020000,
+0x24424034, 0xaf820244, 0xaf800240, 0x8f820060,
+0x511024, 0x14400005, 0x2201821, 0x8f820060,
+0x431024, 0x1040fffd, 0x0, 0xc003ff6,
+0x8821, 0x3c020100, 0xafa20020, 0x8f530018,
+0x240200ff, 0x56620001, 0x26710001, 0x1821,
+0x8c620228, 0x1622000d, 0x1330c0, 0x8f42032c,
+0x24420001, 0xaf42032c, 0x8c620228, 0x3c040001,
+0x24843d44, 0x3c050009, 0xafa00014, 0xafa20010,
+0x8fa60020, 0x1000003e, 0x34a50100, 0xc04821,
+0x2e61021, 0x8fb90020, 0x8fae0024, 0xac5904c0,
+0xac4e04c4, 0x8f440168, 0x8f45016c, 0x4021,
+0x24020004, 0xafa20010, 0xafb10014, 0x8f42000c,
+0x24c604c0, 0x2e63021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x1440000b, 0x24070008,
+0x8f820120, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24843d4c, 0x3c050009, 0xafa20014, 0x8fa60020,
+0x1000001b, 0x34a50200, 0x8f440150, 0x8f450154,
+0x8f43000c, 0xaf510018, 0x8f860120, 0x24020010,
+0xafa20010, 0xafb10014, 0xafa30018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x1440000f, 0x0,
+0x8f420330, 0x24420001, 0xaf420330, 0x8f820120,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24843d54,
+0x3c050009, 0xafa20014, 0x8fa60020, 0x34a50300,
+0xc002bdf, 0x2603821, 0x8f4202d4, 0x24420001,
+0xaf4202d4, 0x93a20038, 0x10400076, 0x3c020700,
+0x34423000, 0xafa20028, 0x8f530018, 0x240200ff,
+0x12620002, 0x8821, 0x26710001, 0x1821,
+0x8c620228, 0x1622000d, 0x1330c0, 0x8f42032c,
+0x24420001, 0xaf42032c, 0x8c620228, 0x3c040001,
+0x24843d44, 0x3c050009, 0xafa00014, 0xafa20010,
+0x8fa60028, 0x1000003e, 0x34a50100, 0xc04821,
+0x2e61021, 0x8fb90028, 0x8fae002c, 0xac5904c0,
+0xac4e04c4, 0x8f440168, 0x8f45016c, 0x4021,
+0x24020004, 0xafa20010, 0xafb10014, 0x8f42000c,
+0x24c604c0, 0x2e63021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x1440000b, 0x24070008,
+0x8f820120, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24843d4c, 0x3c050009, 0xafa20014, 0x8fa60028,
+0x1000001b, 0x34a50200, 0x8f440150, 0x8f450154,
+0x8f43000c, 0xaf510018, 0x8f860120, 0x24020010,
+0xafa20010, 0xafb10014, 0xafa30018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x1440000f, 0x0,
+0x8f420330, 0x24420001, 0xaf420330, 0x8f820120,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24843d54,
+0x3c050009, 0xafa20014, 0x8fa60028, 0x34a50300,
+0xc002bdf, 0x2603821, 0x8f4202e0, 0x3c040001,
+0x248440a4, 0x24420001, 0xaf4202e0, 0xafa00010,
+0xafa00014, 0x8fa60028, 0x24052300, 0xc002bdf,
+0x3821, 0x3c040001, 0x24843da0, 0x24020608,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e73da8, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x8f8200a0, 0x30420004, 0x10400008,
+0x1821, 0x8c620264, 0x10400005, 0x0,
+0x8f8200a0, 0x30420004, 0x1440fffa, 0x0,
+0x8f820044, 0x34420004, 0xaf820044, 0x8f4202f8,
+0x24420001, 0xaf4202f8, 0x8f8200d8, 0x8f8300d4,
+0x431023, 0x2442ff80, 0xaf420090, 0x2842ff81,
+0x10400006, 0x24020001, 0x8f420090, 0x8f430138,
+0x431021, 0xaf420090, 0x24020001, 0xaf42008c,
+0x32c20008, 0x10400006, 0x0, 0x8f820214,
+0x3c038100, 0x3042ffff, 0x431025, 0xaf820214,
+0x3c020001, 0x8c425024, 0x30420001, 0x10400009,
+0x0, 0x3c040001, 0x248440b0, 0x3c050000,
+0x24a56f7c, 0x3c060000, 0x24c67fd0, 0x10000008,
+0xc53023, 0x3c040001, 0x248440c0, 0x3c050000,
+0x24a56b74, 0x3c060000, 0x24c67fc8, 0xc53023,
+0x27a70030, 0x27a20034, 0xc001934, 0xafa20010,
+0x3c010001, 0xac225118, 0x3c020001, 0x8c425118,
+0x3c030fff, 0x3463ffff, 0x431024, 0x21082,
+0x3c030800, 0x431025, 0xae420040, 0x8f8200a0,
+0xafa20010, 0x8f8200b0, 0xafa20014, 0x8f86005c,
+0x8f87011c, 0x3c040001, 0x248440d0, 0x3c010001,
+0xac3650f0, 0x3c010001, 0xac2050e0, 0x3c010001,
+0xac3c50d8, 0x3c010001, 0xac3b5108, 0x3c010001,
+0xac37510c, 0x3c010001, 0xac3a50ec, 0xc002bdf,
+0x24052400, 0x8f820200, 0xafa20010, 0x8f820220,
+0xafa20014, 0x8f860044, 0x8f870050, 0x3c040001,
+0x248440dc, 0xc002bdf, 0x24052500, 0x8f830060,
+0x74100b, 0x242000a, 0x200f821, 0x0,
+0xd, 0x8fbf0058, 0x8fbe0054, 0x8fb50050,
+0x8fb3004c, 0x8fb20048, 0x8fb10044, 0x8fb00040,
+0x3e00008, 0x27bd0060, 0x27bdffc8, 0x3c040001,
+0x24844108, 0x24053200, 0x3c020001, 0x8c424f70,
+0x3c030001, 0x8c634f74, 0x3021, 0x3603821,
+0xafbf0034, 0xafb50030, 0xafb3002c, 0xafb20028,
+0xafb10024, 0xafb00020, 0xafa2001c, 0xafa30018,
+0xafb70010, 0xc002bdf, 0xafba0014, 0xc002028,
+0x0, 0x8f820240, 0x34420004, 0xaf820240,
+0x24020001, 0xaf420000, 0x3c020001, 0x571021,
+0x904240f4, 0x10400096, 0x2403fffc, 0x3c100001,
+0x2610addb, 0x3c120001, 0x2652a3e4, 0x2121023,
+0x438024, 0x3c040001, 0x24844114, 0x8fa3001c,
+0x27b50018, 0x70102b, 0x1440001a, 0x27b3001c,
+0x8fb10018, 0x24053000, 0x2403021, 0xafb00010,
+0xafa30014, 0xc002bdf, 0x2203821, 0x8fa30018,
+0x702021, 0x64102b, 0x10400007, 0x2403021,
+0x8cc20000, 0xac620000, 0x24630004, 0x64102b,
+0x1440fffb, 0x24c60004, 0x8e620000, 0x501023,
+0xae620000, 0x8ea20000, 0x501021, 0x1000000a,
+0xaea20000, 0x2408821, 0x24053100, 0xafb00010,
+0xafa30014, 0x8fa70018, 0x2203021, 0x2402002d,
+0xc002bdf, 0xa0820000, 0x24070020, 0x3c040001,
+0x24844130, 0x8fa3001c, 0xe09821, 0x27b20018,
+0x3c010001, 0xac3150fc, 0x67102b, 0x1440001d,
+0x27b1001c, 0x8fb00018, 0x24053000, 0x3c060001,
+0x24c65190, 0xafa70010, 0xafa30014, 0xc002bdf,
+0x2003821, 0x8fa30018, 0x3c040001, 0x24845190,
+0x24650020, 0x65102b, 0x10400007, 0x0,
+0x8c820000, 0xac620000, 0x24630004, 0x65102b,
+0x1440fffb, 0x24840004, 0x8e220000, 0x531023,
+0xae220000, 0x8e420000, 0x531021, 0x1000000b,
+0xae420000, 0x3c100001, 0x26105190, 0x24053100,
+0xafa70010, 0xafa30014, 0x8fa70018, 0x2003021,
+0x2402002d, 0xc002bdf, 0xa0820000, 0x24070020,
+0x3c040001, 0x24844144, 0xe09821, 0x8fa3001c,
+0x27b20018, 0x3c010001, 0xac305130, 0x67102b,
+0x1440001d, 0x27b1001c, 0x8fb00018, 0x24053000,
+0x3c060001, 0x24c651b0, 0xafa70010, 0xafa30014,
+0xc002bdf, 0x2003821, 0x8fa30018, 0x3c040001,
+0x248451b0, 0x24650020, 0x65102b, 0x10400007,
+0x0, 0x8c820000, 0xac620000, 0x24630004,
+0x65102b, 0x1440fffb, 0x24840004, 0x8e220000,
+0x531023, 0xae220000, 0x8e420000, 0x531021,
+0x1000000b, 0xae420000, 0x3c100001, 0x261051b0,
+0x24053100, 0xafa70010, 0xafa30014, 0x8fa70018,
+0x2003021, 0x2402002d, 0xc002bdf, 0xa0820000,
+0x3c010001, 0xac30512c, 0x10000032, 0x0,
+0x3c100001, 0x261080b3, 0x3c120000, 0x26527e28,
+0x2121023, 0x438024, 0x3c040001, 0x24844158,
+0x8fa3001c, 0x27b50018, 0x70102b, 0x1440001a,
+0x27b3001c, 0x8fb10018, 0x24053000, 0x2403021,
+0xafb00010, 0xafa30014, 0xc002bdf, 0x2203821,
+0x8fa30018, 0x702021, 0x64102b, 0x10400007,
+0x2403021, 0x8cc20000, 0xac620000, 0x24630004,
+0x64102b, 0x1440fffb, 0x24c60004, 0x8e620000,
+0x501023, 0xae620000, 0x8ea20000, 0x501021,
+0x1000000a, 0xaea20000, 0x2408821, 0x24053100,
+0xafb00010, 0xafa30014, 0x8fa70018, 0x2203021,
+0x2402002d, 0xc002bdf, 0xa0820000, 0x3c010001,
+0xac3150fc, 0x3c030001, 0x8c6350fc, 0x24020400,
+0x60f809, 0xaf820070, 0x8fbf0034, 0x8fb50030,
+0x8fb3002c, 0x8fb20028, 0x8fb10024, 0x8fb00020,
+0x3e00008, 0x27bd0038, 0x1021, 0x8c420224,
+0x3047003f, 0x10e00010, 0x803021, 0x2821,
+0x24030020, 0xe31024, 0x10400002, 0x63042,
+0xa62821, 0x31842, 0x1460fffb, 0xe31024,
+0x2402f000, 0xa22824, 0x3403ffff, 0x65102b,
+0x14400003, 0x851023, 0x3e00008, 0x3c020001,
+0x62102b, 0x10400003, 0x3c02ffff, 0x3e00008,
+0xa01021, 0x3e00008, 0x821021, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x3e00008, 0x0, 0x3e00008, 0x0,
+0x3e00008, 0x0, 0x3e00008, 0x0,
+0x27bdfde0, 0xafb00218, 0x27b00018, 0x3c0200bf,
+0x3442ffff, 0x50102b, 0x10400015, 0xafbf021c,
+0x3c040001, 0x24843da0, 0x2402068a, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x3c04dead, 0x3484beef, 0x8f820150, 0x3c03001f,
+0x3463ffff, 0xafa40018, 0x2028023, 0x2038024,
+0x8e020000, 0x1044001e, 0x0, 0xafb00010,
+0x8e020000, 0xafa20014, 0x8f860150, 0x8f870250,
+0x3c040001, 0x248440f0, 0xc002bdf, 0x24052700,
+0x3c040001, 0x24843da0, 0x24020698, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x8fbf021c, 0x8fb00218, 0x3e00008, 0x27bd0220,
+0x27bdffe0, 0x3c06abba, 0x34c6babe, 0xafb00018,
+0x3c100004, 0x3c07007f, 0x34e7ffff, 0xafbf001c,
+0x102840, 0x8e040000, 0x8ca30000, 0xaca00000,
+0xae060000, 0x8ca20000, 0xaca30000, 0x10460005,
+0xae040000, 0xa08021, 0xf0102b, 0x1040fff5,
+0x102840, 0x3c040001, 0x248440fc, 0x24052800,
+0x2003021, 0x3821, 0xafa00010, 0xc002bdf,
+0xafa00014, 0x2001021, 0x8fbf001c, 0x8fb00018,
+0x3e00008, 0x27bd0020, 0x27bdffe0, 0x3c040001,
+0x248440e8, 0x24052600, 0x3021, 0xc03821,
+0xafbf0018, 0xafa00010, 0xc002bdf, 0xafa00014,
+0x3c040001, 0x24843da0, 0x24020666, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x27bdffd0,
+0xafb20020, 0x8fb20040, 0xafb50028, 0xa0a821,
+0xafb30024, 0x24c60003, 0xafbf002c, 0xafb1001c,
+0xafb00018, 0x8e420000, 0x2403fffc, 0xc38024,
+0x50102b, 0x1440001b, 0xe09821, 0x8e710000,
+0xafb00010, 0x8e420000, 0xafa20014, 0x8e670000,
+0x24053000, 0xc002bdf, 0x2a03021, 0x8e630000,
+0x702021, 0x64102b, 0x10400007, 0x2a02821,
+0x8ca20000, 0xac620000, 0x24630004, 0x64102b,
+0x1440fffb, 0x24a50004, 0x8e420000, 0x501023,
+0xae420000, 0x8e620000, 0x501021, 0x1000000b,
+0xae620000, 0x2402002d, 0xa0820000, 0xafb00010,
+0x8e420000, 0x2a08821, 0xafa20014, 0x8e670000,
+0x24053100, 0xc002bdf, 0x2203021, 0x2201021,
+0x8fbf002c, 0x8fb50028, 0x8fb30024, 0x8fb20020,
+0x8fb1001c, 0x8fb00018, 0x3e00008, 0x27bd0030,
+0x27bdffe8, 0x3c1cc000, 0x3c05fffe, 0x3c030001,
+0x8c6350d0, 0x3c040001, 0x8c8450dc, 0x34a5bf08,
+0x24021ffc, 0x3c010001, 0xac224f70, 0x3c0200c0,
+0x3c010001, 0xac224f74, 0x3c020020, 0xafbf0010,
+0x3c0100c0, 0xac201ffc, 0x431023, 0x441023,
+0x245bb000, 0x365b821, 0x3c1d0001, 0x8fbd4f6c,
+0x3a0f021, 0x3c0300c0, 0x34630200, 0x3c0400c0,
+0x348407b8, 0x24021dfc, 0x3c010001, 0xac224f70,
+0x24021844, 0x3c1a00c0, 0x3c010001, 0xac234f74,
+0x3c010001, 0xac224f70, 0x3c010001, 0xac244f74,
+0xc00178b, 0x375a0200, 0x8fbf0010, 0x3e00008,
+0x27bd0018, 0x0, 0x0, 0x27bdffe8,
+0xafbf0010, 0x8f820054, 0x244203e8, 0xaf820058,
+0x3c020800, 0x2c21024, 0x10400004, 0x3c02f7ff,
+0x3442ffff, 0x2c2b024, 0x36940040, 0x3c020001,
+0x8c425038, 0x10400027, 0x0, 0x3c020001,
+0x8c425024, 0x30420001, 0x14400010, 0x0,
+0x3c020001, 0x8c425168, 0x1040000c, 0x0,
+0x3c020001, 0x8c4250b4, 0x14400008, 0x0,
+0x8f830224, 0x3c020001, 0x8c42722c, 0x10620003,
+0x0, 0xc003f1c, 0x0, 0x934205b1,
+0x10400012, 0x401821, 0x24020001, 0x14620004,
+0x3c0208ff, 0x24020002, 0x1000000c, 0xa34205b1,
+0x3442fffb, 0xa34005b1, 0x8f830220, 0x3c040200,
+0x284a025, 0x621824, 0xaf830220, 0x10000004,
+0x3c020200, 0xc0042f8, 0x0, 0x3c020200,
+0x2c21024, 0x10400003, 0x0, 0xc001eae,
+0x0, 0x8f4200d8, 0x8f4300dc, 0x24420001,
+0xaf4200d8, 0x43102b, 0x14400003, 0x1021,
+0xaf4000d8, 0x36940080, 0x8c430238, 0x1060000c,
+0x0, 0x8f4201a0, 0x244203e8, 0xaf4201a0,
+0x43102b, 0x14400006, 0x0, 0x934205b6,
+0x14400003, 0x0, 0xc001d0c, 0x0,
+0x8fbf0010, 0x3e00008, 0x27bd0018, 0x27bdffd8,
+0xafbf0020, 0x8f43002c, 0x8f420038, 0x10620057,
+0x0, 0x3c020001, 0x571021, 0x904240f0,
+0x10400025, 0x24070008, 0x8f440160, 0x8f450164,
+0x8f48000c, 0x8f860120, 0x24020020, 0xafa20010,
+0xafa30014, 0xafa80018, 0x8f42010c, 0x40f809,
+0x24c6001c, 0x14400011, 0x24020001, 0x3c010001,
+0x370821, 0xa02240f0, 0x8f820124, 0xafa20010,
+0x8f820128, 0x3c040001, 0x24844208, 0xafa20014,
+0x8f46002c, 0x8f870120, 0x3c050009, 0xc002bdf,
+0x34a50900, 0x10000058, 0x0, 0x8f43002c,
+0x8f4202f0, 0xa34005b2, 0x24420001, 0xaf430038,
+0x10000026, 0xaf4202f0, 0x8f440160, 0x8f450164,
+0x8f43002c, 0x8f48000c, 0x8f860120, 0x24020080,
+0xafa20010, 0xafa30014, 0xafa80018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x14400011, 0x24020001,
+0x3c010001, 0x370821, 0xa02240f1, 0x8f820124,
+0xafa20010, 0x8f820128, 0x3c040001, 0x24844214,
+0xafa20014, 0x8f46002c, 0x8f870120, 0x3c050009,
+0xc002bdf, 0x34a51100, 0x10000033, 0x0,
+0x8f44002c, 0x8f4302f0, 0x24020001, 0xa34205b2,
+0x24630001, 0xaf440038, 0xaf4302f0, 0x3c010001,
+0x370821, 0xa02040f1, 0x3c010001, 0x370821,
+0xa02040f0, 0x10000024, 0xaf400034, 0x934205b2,
+0x1040001b, 0x0, 0xa34005b2, 0x8f820040,
+0x30420001, 0x14400008, 0x2021, 0x8c830104,
+0x24020001, 0x50620005, 0x24040001, 0x8c820264,
+0x10400003, 0x801021, 0x24040001, 0x801021,
+0x10400005, 0x0, 0x8f4202fc, 0x24420001,
+0x10000007, 0xaf4202fc, 0x8f820044, 0x34420004,
+0xaf820044, 0x8f4202f8, 0x24420001, 0xaf4202f8,
+0x3c010001, 0x370821, 0xa02040f0, 0x3c010001,
+0x370821, 0xa02040f1, 0x8f420000, 0x10400007,
+0x0, 0xaf80004c, 0x8f82004c, 0x1040fffd,
+0x0, 0x10000005, 0x0, 0xaf800048,
+0x8f820048, 0x1040fffd, 0x0, 0x8f820060,
+0x3c03ff7f, 0x3463ffff, 0x431024, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x10000002,
+0xaf80004c, 0xaf800048, 0x8fbf0020, 0x3e00008,
+0x27bd0028, 0x27bdffd8, 0xafbf0020, 0x8f430044,
+0x8f42007c, 0x10620028, 0x24070008, 0x8f440158,
+0x8f45015c, 0x8f48000c, 0x8f860120, 0x24020040,
+0xafa20010, 0xafa30014, 0xafa80018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x14400011, 0x24020001,
+0x3c010001, 0x370821, 0xa02240f2, 0x8f820124,
+0xafa20010, 0x8f820128, 0x3c040001, 0x2484421c,
+0xafa20014, 0x8f460044, 0x8f870120, 0x3c050009,
+0xc002bdf, 0x34a51300, 0x1000000e, 0x0,
+0x8f4202f4, 0x8f430044, 0x24420001, 0xaf43007c,
+0xaf4202f4, 0x3c010001, 0x370821, 0xa02040f2,
+0x10000004, 0xaf400078, 0x3c010001, 0x370821,
+0xa02040f2, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x3c03feff,
+0x3463ffff, 0x431024, 0xaf820060, 0x8f420000,
+0x10400003, 0x0, 0x10000002, 0xaf80004c,
+0xaf800048, 0x8fbf0020, 0x3e00008, 0x27bd0028,
+0x3c020001, 0x8c425038, 0x27bdffb0, 0xafbf0048,
+0xafbe0044, 0xafb50040, 0xafb3003c, 0xafb20038,
+0xafb10034, 0xafb00030, 0x8f900044, 0x104000d4,
+0x274200d0, 0x8c430000, 0x602021, 0x24630001,
+0x2884000b, 0x148000e4, 0xac430000, 0x8f420004,
+0x30420002, 0x14400098, 0xaf4000d0, 0x8f420004,
+0x3c030001, 0x8c635028, 0x34420002, 0xaf420004,
+0x24020001, 0x14620003, 0x3c020600, 0x10000002,
+0x34423000, 0x34421000, 0xafa20020, 0x1821,
+0x8f4a0018, 0x27ab0020, 0x240200ff, 0xafab0028,
+0x11420002, 0xafaa002c, 0x25430001, 0x2021,
+0x8c820228, 0x609821, 0x1662000e, 0x0,
+0x8f42032c, 0x24420001, 0xaf42032c, 0x8c820228,
+0x8fa7002c, 0x3c050009, 0xafa00014, 0xafa20010,
+0x8fa60020, 0x3c040001, 0x248441ec, 0x1000006d,
+0x34a50500, 0x8fac002c, 0xc40c0, 0x2e81021,
+0x8fad0020, 0x8faa0024, 0xac4d04c0, 0xac4a04c4,
+0x8f830054, 0x8f820054, 0x247103e8, 0x2221023,
+0x2c4203e9, 0x1040001b, 0xa821, 0x1009021,
+0x265e04c0, 0x8f440168, 0x8f45016c, 0x2404821,
+0x240d0004, 0xafad0010, 0xafb30014, 0x8f42000c,
+0x4021, 0x2fe3021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x54400006, 0x24150001,
+0x8f820054, 0x2221023, 0x2c4203e9, 0x1440ffe9,
+0x0, 0x32a200ff, 0x54400016, 0xaf530018,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8faa0028, 0x8fa7002c, 0xafa20010, 0x8f820124,
+0x3c040001, 0x248441f8, 0xafa20014, 0x8d460000,
+0x3c050009, 0x10000033, 0x34a50600, 0x8f4202f8,
+0x24150001, 0x24420001, 0xaf4202f8, 0x1000001e,
+0x32a200ff, 0x8f830054, 0x8f820054, 0x247103e8,
+0x2221023, 0x2c4203e9, 0x10400016, 0xa821,
+0x3c1e0020, 0x24120010, 0x8f42000c, 0x8f440150,
+0x8f450154, 0x8f860120, 0xafb20010, 0xafb30014,
+0x5e1025, 0xafa20018, 0x8f42010c, 0x24070008,
+0x40f809, 0x24c6001c, 0x1440ffe4, 0x0,
+0x8f820054, 0x2221023, 0x2c4203e9, 0x1440ffee,
+0x0, 0x32a200ff, 0x14400010, 0x3c050009,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8fab0028, 0x8fa7002c, 0xafa20010, 0x8f820124,
+0x3c040001, 0x24844200, 0xafa20014, 0x8d660000,
+0x34a50700, 0xc002bdf, 0x0, 0x8f4202dc,
+0x24420001, 0xaf4202dc, 0x8f420004, 0x30420001,
+0x10400028, 0x3c020400, 0x2c21024, 0x10400013,
+0x2404ffdf, 0x8f420240, 0x8f430244, 0x8f4401a4,
+0x14640006, 0x36100040, 0x8f420260, 0x8f430264,
+0x8f4401a8, 0x10640007, 0x2402ffdf, 0x8f420240,
+0x8f430244, 0x8f440260, 0x8f450264, 0x10000012,
+0x3a100020, 0x10000030, 0x2028024, 0x8f420240,
+0x8f430244, 0x8f4501a4, 0x14650006, 0x2048024,
+0x8f420260, 0x8f430264, 0x8f4401a8, 0x50640026,
+0x36100040, 0x8f420240, 0x8f430244, 0x8f440260,
+0x8f450264, 0x3a100040, 0xaf4301a4, 0x1000001e,
+0xaf4501a8, 0x274200d4, 0x8c430000, 0x36100040,
+0x602021, 0x24630001, 0x10000013, 0x28840033,
+0x8f420004, 0x30420001, 0x10400009, 0x3c020400,
+0x2c21024, 0x10400004, 0x2402ffdf, 0x2028024,
+0x1000000d, 0x36100040, 0x1000000b, 0x36100060,
+0x274200d4, 0x8c430000, 0x36100040, 0x602021,
+0x24630001, 0x288401f5, 0x14800003, 0xac430000,
+0xaf4000d4, 0x3a100020, 0xaf900044, 0x8fbf0048,
+0x8fbe0044, 0x8fb50040, 0x8fb3003c, 0x8fb20038,
+0x8fb10034, 0x8fb00030, 0x2402ff7f, 0x282a024,
+0x3e00008, 0x27bd0050, 0x3c020001, 0x8c425038,
+0x27bdffb0, 0xafbf0048, 0xafbe0044, 0xafb50040,
+0xafb3003c, 0xafb20038, 0xafb10034, 0x104000e0,
+0xafb00030, 0x3c050001, 0x8ca55028, 0x274200d0,
+0xaf4500e8, 0x8c430000, 0x602021, 0x24630001,
+0x2884000b, 0x148000ff, 0xac430000, 0x8f420004,
+0x30420002, 0x14400093, 0xaf4000d0, 0x8f420004,
+0x34420002, 0xaf420004, 0x24020001, 0x14a20003,
+0x3c020600, 0x10000002, 0x34423000, 0x34421000,
+0xafa20020, 0x1821, 0x8f5e0018, 0x27aa0020,
+0x240200ff, 0x13c20002, 0xafaa0028, 0x27c30001,
+0x2021, 0x8c820228, 0x609021, 0x1642000e,
+0x1e40c0, 0x8f42032c, 0x24420001, 0xaf42032c,
+0x8c820228, 0x3c050009, 0x34a50500, 0xafa00014,
+0xafa20010, 0x8fa60020, 0x3c040001, 0x248441ec,
+0x1000006b, 0x3c03821, 0x2e81021, 0x8fab0020,
+0x8fac0024, 0xac4b04c0, 0xac4c04c4, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x1040001b, 0x9821, 0x1008821, 0x263504c0,
+0x8f440168, 0x8f45016c, 0x2204821, 0x240b0004,
+0xafab0010, 0xafb20014, 0x8f42000c, 0x4021,
+0x2f53021, 0xafa20018, 0x8f42010c, 0x24070008,
+0xa92821, 0xa9182b, 0x882021, 0x40f809,
+0x832021, 0x54400006, 0x24130001, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffe9, 0x0,
+0x326200ff, 0x54400015, 0xaf520018, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fac0028,
+0xafa20010, 0x8f820124, 0x3c040001, 0x248441f8,
+0x3c050009, 0xafa20014, 0x8d860000, 0x10000033,
+0x34a50600, 0x8f4202f8, 0x24130001, 0x24420001,
+0xaf4202f8, 0x1000001e, 0x326200ff, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x10400016, 0x9821, 0x3c150020, 0x24110010,
+0x8f42000c, 0x8f440150, 0x8f450154, 0x8f860120,
+0xafb10010, 0xafb20014, 0x551025, 0xafa20018,
+0x8f42010c, 0x24070008, 0x40f809, 0x24c6001c,
+0x1440ffe4, 0x0, 0x8f820054, 0x2021023,
+0x2c4203e9, 0x1440ffee, 0x0, 0x326200ff,
+0x14400011, 0x0, 0x8f420368, 0x24420001,
+0xaf420368, 0x8f820120, 0x8fad0028, 0xafa20010,
+0x8f820124, 0x3c040001, 0x24844200, 0x3c050009,
+0xafa20014, 0x8da60000, 0x34a50700, 0x3c03821,
+0xc002bdf, 0x0, 0x8f4202dc, 0x24420001,
+0xaf4202dc, 0x8f420004, 0x30420001, 0x10400033,
+0x3c020400, 0x2c21024, 0x10400017, 0x0,
+0x934205b0, 0x8f440240, 0x8f450244, 0x8f4301a4,
+0x34420020, 0x14a30006, 0xa34205b0, 0x8f420260,
+0x8f430264, 0x8f4401a8, 0x10640008, 0x0,
+0x8f420240, 0x8f430244, 0x934405b0, 0x8f460260,
+0x8f470264, 0x10000016, 0x38840040, 0x934205b0,
+0x1000004b, 0x304200bf, 0x934205b0, 0x8f440240,
+0x8f450244, 0x8f4301a4, 0x304200bf, 0x14a30006,
+0xa34205b0, 0x8f420260, 0x8f430264, 0x8f4401a8,
+0x1064000b, 0x0, 0x8f420240, 0x8f430244,
+0x934405b0, 0x8f460260, 0x8f470264, 0x38840020,
+0xaf4301a4, 0xaf4701a8, 0x10000036, 0xa34405b0,
+0x934205b0, 0x10000032, 0x34420020, 0x934205b0,
+0x274400d4, 0x34420020, 0xa34205b0, 0x8c820000,
+0x401821, 0x24420001, 0x10000024, 0x28630033,
+0x8f4200e4, 0x8f4300e0, 0x24420001, 0xaf4200e4,
+0x43102a, 0x14400006, 0x24030001, 0x8f4200e8,
+0x14430002, 0xaf4000e4, 0x24030004, 0xaf4300e8,
+0x8f420004, 0x30420001, 0x1040000c, 0x3c020400,
+0x2c21024, 0x10400006, 0x0, 0x934205b0,
+0x34420040, 0xa34205b0, 0x10000011, 0x304200df,
+0x934205b0, 0x1000000e, 0x34420060, 0x934205b0,
+0x274400d4, 0x34420020, 0xa34205b0, 0x8c820000,
+0x401821, 0x24420001, 0x286300fb, 0x14600005,
+0xac820000, 0x934205b0, 0xaf4000d4, 0x38420040,
+0xa34205b0, 0x934205b0, 0x8f4300e8, 0x3042007f,
+0xa34205b0, 0x24020001, 0x14620005, 0x0,
+0x934405b0, 0x42102, 0x10000003, 0x348400f0,
+0x934405b0, 0x3484000f, 0xc004f1c, 0x0,
+0x8fbf0048, 0x8fbe0044, 0x8fb50040, 0x8fb3003c,
+0x8fb20038, 0x8fb10034, 0x8fb00030, 0x2402ff7f,
+0x282a024, 0x3e00008, 0x27bd0050, 0x27bdffb0,
+0x274401b0, 0x26e30028, 0x24650400, 0x65102b,
+0xafbf0048, 0xafbe0044, 0xafb50040, 0xafb3003c,
+0xafb20038, 0xafb10034, 0x10400007, 0xafb00030,
+0x8c820000, 0xac620000, 0x24630004, 0x65102b,
+0x1440fffb, 0x24840004, 0x1021, 0x8c430080,
+0xaee30044, 0x8c4300c0, 0xaee30040, 0x8c430084,
+0xaee30030, 0x8c430084, 0xaee3023c, 0x8c430088,
+0xaee30240, 0x8c43008c, 0xaee30244, 0x8c430090,
+0xaee30248, 0x8c430094, 0xaee3024c, 0x8c430098,
+0xaee30250, 0x8c43009c, 0xaee30254, 0x8c4300a0,
+0xaee30258, 0x8c4300a4, 0xaee3025c, 0x8c4300a8,
+0xaee30260, 0x8c4300ac, 0xaee30264, 0x8c4300b0,
+0xaee30268, 0x8c4300b4, 0xaee3026c, 0x8c4300b8,
+0xaee30270, 0x8c4200bc, 0x24040001, 0xaee20274,
+0xaee00034, 0x41080, 0x2e21821, 0x8ee20034,
+0x8c63023c, 0x24840001, 0x431021, 0xaee20034,
+0x2c82000f, 0x1440fff8, 0x41080, 0x8021,
+0x8e0200cc, 0xaee20048, 0x8e0200d0, 0xaee2004c,
+0x8e0200e0, 0xaee201f8, 0x8e0200e4, 0xaee201fc,
+0x8e0200e8, 0xaee20200, 0x8e0200ec, 0xaee20204,
+0x8e0200f0, 0xaee20208, 0x8ee400c0, 0x8ee500c4,
+0x8e0200fc, 0x45102b, 0x1040000b, 0x0,
+0x8ee200c0, 0x8ee300c4, 0x24040001, 0x24050000,
+0x651821, 0x65302b, 0x441021, 0x461021,
+0xaee200c0, 0xaee300c4, 0x8e0300fc, 0x8ee400c0,
+0x8ee500c4, 0x2408ffff, 0x24090000, 0x1021,
+0x882024, 0xa92824, 0x822025, 0xa32825,
+0xaee400c0, 0xaee500c4, 0x8ee400d0, 0x8ee500d4,
+0x8e0200f4, 0x45102b, 0x1040000b, 0x0,
+0x8ee200d0, 0x8ee300d4, 0x24040001, 0x24050000,
+0x651821, 0x65302b, 0x441021, 0x461021,
+0xaee200d0, 0xaee300d4, 0x8e0300f4, 0x8ee400d0,
+0x8ee500d4, 0x1021, 0x882024, 0xa92824,
+0x822025, 0xa32825, 0xaee400d0, 0xaee500d4,
+0x8ee400c8, 0x8ee500cc, 0x8e0200f8, 0x45102b,
+0x1040000b, 0x0, 0x8ee200c8, 0x8ee300cc,
+0x24040001, 0x24050000, 0x651821, 0x65302b,
+0x441021, 0x461021, 0xaee200c8, 0xaee300cc,
+0x8e0300f8, 0x8ee400c8, 0x8ee500cc, 0x1021,
+0x882024, 0xa92824, 0x822025, 0xa32825,
+0x24020008, 0xaee400c8, 0xaee500cc, 0xafa20010,
+0xafa00014, 0x8f42000c, 0xafa20018, 0x8e040208,
+0x8e05020c, 0x8f42010c, 0x26e60028, 0x40f809,
+0x24070400, 0x104000e8, 0x3c020400, 0xafa20020,
+0x934305b7, 0x10600085, 0x27aa0020, 0x1821,
+0x8f5e0018, 0x240200ff, 0x13c20002, 0xafaa0028,
+0x27c30001, 0x8e020228, 0x609021, 0x1642000d,
+0x1e40c0, 0x8f42032c, 0x24420001, 0xaf42032c,
+0x8e020228, 0x3c040001, 0x248441ec, 0x3c050009,
+0xafa00014, 0xafa20010, 0x8fa60020, 0x10000068,
+0x34a50500, 0x2e81021, 0x8fab0020, 0x8fac0024,
+0xac4b04c0, 0xac4c04c4, 0x8f830054, 0x8f820054,
+0x247003e8, 0x2021023, 0x2c4203e9, 0x1040001b,
+0x9821, 0x1008821, 0x263504c0, 0x8f440168,
+0x8f45016c, 0x2204821, 0x240b0004, 0xafab0010,
+0xafb20014, 0x8f42000c, 0x4021, 0x2f53021,
+0xafa20018, 0x8f42010c, 0x24070008, 0xa92821,
+0xa9182b, 0x882021, 0x40f809, 0x832021,
+0x54400006, 0x24130001, 0x8f820054, 0x2021023,
+0x2c4203e9, 0x1440ffe9, 0x0, 0x326200ff,
+0x54400015, 0xaf520018, 0x8f420368, 0x24420001,
+0xaf420368, 0x8f820120, 0x8fac0028, 0xafa20010,
+0x8f820124, 0x3c040001, 0x248441f8, 0x3c050009,
+0xafa20014, 0x8d860000, 0x10000031, 0x34a50600,
+0x8f4202f8, 0x24130001, 0x24420001, 0xaf4202f8,
+0x1000001c, 0x326200ff, 0x8f830054, 0x8f820054,
+0x247003e8, 0x2021023, 0x2c4203e9, 0x10400014,
+0x9821, 0x24110010, 0x8f42000c, 0x8f440150,
+0x8f450154, 0x8f860120, 0xafb10010, 0xafb20014,
+0xafa20018, 0x8f42010c, 0x24070008, 0x40f809,
+0x24c6001c, 0x1440ffe6, 0x0, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffef, 0x0,
+0x326200ff, 0x54400011, 0x24020001, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fad0028,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24844200,
+0x3c050009, 0xafa20014, 0x8da60000, 0x34a50700,
+0xc002bdf, 0x3c03821, 0x1021, 0x14400059,
+0x24020001, 0x10000062, 0xa34205b7, 0x8f510018,
+0x240200ff, 0x12220002, 0x8021, 0x26300001,
+0x8c620228, 0x1602000d, 0x1130c0, 0x8f42032c,
+0x24420001, 0xaf42032c, 0x8c620228, 0x3c040001,
+0x248441d4, 0x3c050009, 0xafa00014, 0xafa20010,
+0x8fa60020, 0x1000003e, 0x34a50100, 0xc04821,
+0x2e61021, 0x8faa0020, 0x8fab0024, 0xac4a04c0,
+0xac4b04c4, 0x8f440168, 0x8f45016c, 0x4021,
+0x24020004, 0xafa20010, 0xafb00014, 0x8f42000c,
+0x24c604c0, 0x2e63021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x1440000b, 0x24070008,
+0x8f820120, 0xafa20010, 0x8f820124, 0x3c040001,
+0x248441dc, 0x3c050009, 0xafa20014, 0x8fa60020,
+0x1000001b, 0x34a50200, 0x8f440150, 0x8f450154,
+0x8f43000c, 0xaf500018, 0x8f860120, 0x24020010,
+0xafa20010, 0xafb00014, 0xafa30018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x54400010, 0x24020001,
+0x8f420330, 0x24420001, 0xaf420330, 0x8f820120,
+0xafa20010, 0x8f820124, 0x3c040001, 0x248441e4,
+0x3c050009, 0xafa20014, 0x8fa60020, 0x34a50300,
+0xc002bdf, 0x2203821, 0x1021, 0x1040000b,
+0x24020001, 0x8f4202d8, 0xa34005b7, 0xaf4001a0,
+0x24420001, 0xaf4202d8, 0x8ee20150, 0x24420001,
+0x10000003, 0xaee20150, 0x24020001, 0xa34205b7,
+0x8fbf0048, 0x8fbe0044, 0x8fb50040, 0x8fb3003c,
+0x8fb20038, 0x8fb10034, 0x8fb00030, 0x3e00008,
+0x27bd0050, 0x27bdffd8, 0xafbf0024, 0xafb00020,
+0x8f8200b0, 0x30420004, 0x10400067, 0x0,
+0x8f43011c, 0x8f820104, 0x14620005, 0x0,
+0x8f430124, 0x8f8200b4, 0x10620006, 0x0,
+0x8f820104, 0xaf42011c, 0x8f8200b4, 0x1000005a,
+0xaf420124, 0x8f8300b0, 0x3c020080, 0x628024,
+0x1200000d, 0x0, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f8200b0, 0x2403fffb, 0x431024,
+0xaf8200b0, 0x8f82011c, 0x2403fffd, 0x431024,
+0x10000049, 0xaf82011c, 0x8f43011c, 0x8f820104,
+0x14620005, 0x0, 0x8f430124, 0x8f8200b4,
+0x10620010, 0x0, 0x8f820104, 0xaf42011c,
+0x8f8200b4, 0x8f43011c, 0xaf420124, 0xafa30010,
+0x8f420124, 0x3c040001, 0x2484423c, 0xafa20014,
+0x8f86011c, 0x8f8700b0, 0x3c050005, 0x10000030,
+0x34a50900, 0x8f42011c, 0xafa20010, 0x8f420124,
+0x3c040001, 0x24844248, 0xafa20014, 0x8f86011c,
+0x8f8700b0, 0x3c050005, 0xc002bdf, 0x34a51000,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f830104,
+0x8f8200b0, 0x34420001, 0xaf8200b0, 0xaf830104,
+0x8e040208, 0x8e05020c, 0x24020008, 0xafa20010,
+0xafa00014, 0x8f42000c, 0xafa20018, 0x8f42010c,
+0x26e60028, 0x40f809, 0x24070400, 0x8f82011c,
+0x2403fffd, 0x431024, 0xaf82011c, 0x8ee201dc,
+0x24420001, 0xaee201dc, 0x8f42011c, 0xafa20010,
+0x8f420124, 0x3c040001, 0x24844254, 0xafa20014,
+0x8f86011c, 0x8f8700b0, 0x3c050005, 0x34a51100,
+0xc002bdf, 0x0, 0x8f8200a0, 0x30420004,
+0x10400068, 0x0, 0x8f430120, 0x8f820124,
+0x14620005, 0x0, 0x8f430128, 0x8f8200a4,
+0x10620006, 0x0, 0x8f820124, 0xaf420120,
+0x8f8200a4, 0x1000005b, 0xaf420128, 0x8f8300a0,
+0x3c020080, 0x628024, 0x1200000d, 0x0,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f8200a0,
+0x2403fffb, 0x431024, 0xaf8200a0, 0x8f82011c,
+0x2403fffd, 0x431024, 0x1000004a, 0xaf82011c,
+0x8f430120, 0x8f820124, 0x14620005, 0x0,
+0x8f430128, 0x8f8200a4, 0x10620010, 0x0,
+0x8f820124, 0xaf420120, 0x8f8200a4, 0x8f430120,
+0xaf420128, 0xafa30010, 0x8f420128, 0x3c040001,
+0x24844260, 0xafa20014, 0x8f86011c, 0x8f8700a0,
+0x3c050005, 0x10000031, 0x34a51200, 0x8f420120,
+0xafa20010, 0x8f420128, 0x3c040001, 0x2484426c,
+0xafa20014, 0x8f86011c, 0x8f8700a0, 0x3c050005,
+0xc002bdf, 0x34a51300, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f830124, 0x8f8200a0, 0x34420001,
+0xaf8200a0, 0xaf830124, 0x8e040208, 0x8e05020c,
+0x24020080, 0xafa20010, 0xafa00014, 0x8f420014,
+0xafa20018, 0x8f420108, 0x3c060001, 0x24c65124,
+0x40f809, 0x24070004, 0x8f82011c, 0x2403fffd,
+0x431024, 0xaf82011c, 0x8ee201dc, 0x24420001,
+0xaee201dc, 0x8f420120, 0xafa20010, 0x8f420128,
+0x3c040001, 0x24844278, 0xafa20014, 0x8f86011c,
+0x8f8700a0, 0x3c050005, 0x34a51400, 0xc002bdf,
+0x0, 0x8fbf0024, 0x8fb00020, 0x3e00008,
+0x27bd0028, 0x3c091000, 0x24080001, 0x3c070080,
+0x3021, 0x3c050100, 0x8f820070, 0x491024,
+0x1040fffd, 0x0, 0x8f820054, 0x1821,
+0x24420005, 0xaf820078, 0x8c640234, 0x10800016,
+0x0, 0x3c020001, 0x571021, 0x8c4240e8,
+0x24420005, 0x3c010001, 0x370821, 0xac2240e8,
+0x3c020001, 0x571021, 0x8c4240e8, 0x44102b,
+0x14400009, 0x0, 0x3c030080, 0x3c010001,
+0x370821, 0xac2040e8, 0x3c010001, 0x370821,
+0x1000000b, 0xa02840f0, 0x3c020001, 0x571021,
+0x904240f0, 0x54400006, 0x671825, 0x3c020001,
+0x571021, 0x904240f1, 0x54400001, 0x671825,
+0x8cc40230, 0x10800013, 0x0, 0x3c020001,
+0x571021, 0x8c4240ec, 0x24420005, 0x3c010001,
+0x370821, 0xac2240ec, 0x3c020001, 0x571021,
+0x8c4240ec, 0x44102b, 0x14400006, 0x0,
+0x3c010001, 0x370821, 0xac2040ec, 0x10000006,
+0x651825, 0x3c020001, 0x571021, 0x904240f2,
+0x54400001, 0x651825, 0x1060ffbb, 0x0,
+0x8f420000, 0x10400007, 0x0, 0xaf80004c,
+0x8f82004c, 0x1040fffd, 0x0, 0x10000005,
+0x0, 0xaf800048, 0x8f820048, 0x1040fffd,
+0x0, 0x8f820060, 0x431025, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x1000ffa6,
+0xaf80004c, 0x1000ffa4, 0xaf800048, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x27bdffe0, 0xafbf0018, 0x8f820040,
+0x3c03f000, 0x431024, 0x3c036000, 0x14430007,
+0x240201e9, 0x8f820050, 0x2403ff80, 0x431024,
+0x34420055, 0x10000014, 0xaf820050, 0x3c040001,
+0x24844224, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74234, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8f820054, 0x244203e8,
+0xaf820058, 0x240201f4, 0xaf4200e0, 0x24020004,
+0xaf4200e8, 0x24020002, 0xaf4001a0, 0xaf4000e4,
+0xaf4200dc, 0xaf4000d8, 0xaf4000d4, 0xaf4000d0,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x8f820054,
+0x24420005, 0x3e00008, 0xaf820078, 0x3e00008,
+0x0, 0x0, 0x0, 0x27bdffd8,
+0xafbf0020, 0xafb1001c, 0xafb00018, 0x8f860064,
+0x30c20004, 0x10400025, 0x2821, 0x24040004,
+0x8ca20114, 0xaf420020, 0xaf840064, 0x8f4202ec,
+0x24420001, 0xaf4202ec, 0x8f820064, 0x30420004,
+0x14400005, 0x0, 0x8c430114, 0x8f420020,
+0x1462fff3, 0x0, 0x8f420000, 0x10400007,
+0x8f43003c, 0xaf80004c, 0x8f82004c, 0x1040fffd,
+0x0, 0x10000005, 0x0, 0xaf800048,
+0x8f820048, 0x1040fffd, 0x0, 0x8f820060,
+0x431025, 0xaf820060, 0x8f420000, 0x10400071,
+0x0, 0x1000006d, 0x0, 0x30c20008,
+0x1040001f, 0x24040008, 0x8ca2011c, 0xaf420048,
+0xaf840064, 0x8f420298, 0x24420001, 0xaf420298,
+0x8f820064, 0x30420008, 0x14400005, 0x0,
+0x8c43011c, 0x8f420048, 0x1462fff3, 0x0,
+0x8f420000, 0x10400007, 0x0, 0xaf80004c,
+0x8f82004c, 0x1040fffd, 0x0, 0x10000005,
+0x0, 0xaf800048, 0x8f820048, 0x1040fffd,
+0x0, 0x8f820060, 0x1000ffda, 0x34420200,
+0x30c20020, 0x10400023, 0x2821, 0x24040020,
+0x8ca2012c, 0xaf420068, 0xaf840064, 0x8f4202c8,
+0x24420001, 0xaf4202c8, 0x8f820064, 0x30420020,
+0x54400005, 0x32c24000, 0x8c43012c, 0x8f420068,
+0x1462fff3, 0x32c24000, 0x14400002, 0x3c020001,
+0x2c2b025, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x1000ffb5,
+0x34420800, 0x30c20010, 0x10400028, 0x24040010,
+0x8ca20124, 0xaf420058, 0xaf840064, 0x8f4202c4,
+0x24420001, 0xaf4202c4, 0x8f820064, 0x30420010,
+0x54400005, 0x32c22000, 0x8c430124, 0x8f420058,
+0x1462fff3, 0x32c22000, 0x50400001, 0x36d68000,
+0x8f420000, 0x10400007, 0x0, 0xaf80004c,
+0x8f82004c, 0x1040fffd, 0x0, 0x10000005,
+0x0, 0xaf800048, 0x8f820048, 0x1040fffd,
+0x0, 0x8f820060, 0x34420100, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x1000006a,
+0xaf80004c, 0x10000068, 0xaf800048, 0x30c30001,
+0x10600004, 0x24020001, 0xaf820064, 0x10000062,
+0x0, 0x30c20002, 0x1440000b, 0x3c050003,
+0x3c040001, 0x24844344, 0x34a50500, 0x3821,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x2402ffc0,
+0x10000055, 0xaf820064, 0x8c70022c, 0x8821,
+0x8e22010c, 0x12020045, 0x101080, 0x8c430300,
+0x26020001, 0x3050003f, 0x24020003, 0xae30022c,
+0x32602, 0x10820005, 0x24020010, 0x1082001c,
+0x30620fff, 0x10000037, 0x0, 0x8f420298,
+0x8f440000, 0x30630fff, 0xaf430048, 0x24420001,
+0x10800007, 0xaf420298, 0xaf80004c, 0x8f82004c,
+0x1040fffd, 0x0, 0x10000005, 0x0,
+0xaf800048, 0x8f820048, 0x1040fffd, 0x0,
+0x8f820060, 0x34420200, 0xaf820060, 0x8f420000,
+0x1040001e, 0x0, 0x1000001a, 0x0,
+0xaf420058, 0x32c22000, 0x50400001, 0x36d68000,
+0x8f4202c4, 0x8f430000, 0x24420001, 0x10600007,
+0xaf4202c4, 0xaf80004c, 0x8f82004c, 0x1040fffd,
+0x0, 0x10000005, 0x0, 0xaf800048,
+0x8f820048, 0x1040fffd, 0x0, 0x8f820060,
+0x34420100, 0xaf820060, 0x8f420000, 0x10400003,
+0x0, 0x10000005, 0xaf80004c, 0x10000003,
+0xaf800048, 0xc002138, 0x602021, 0x8e22010c,
+0x16020002, 0x24020002, 0xaf820064, 0x8f820064,
+0x30420002, 0x14400004, 0x0, 0x8c42010c,
+0x1602ffaf, 0x0, 0x8fbf0020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0028, 0x27bdffa8,
+0xafb1003c, 0x808821, 0x111602, 0x2443ffff,
+0x2c620013, 0xafbf0050, 0xafbe004c, 0xafb50048,
+0xafb30044, 0xafb20040, 0x104001e6, 0xafb00038,
+0x31080, 0x3c010001, 0x220821, 0x8c224388,
+0x400008, 0x0, 0x111302, 0x30450fff,
+0x24020001, 0x10a20005, 0x24020002, 0x10a2000c,
+0x3c040fff, 0x10000025, 0x3c050003, 0x8f430004,
+0x3c020001, 0x8c425150, 0x3c040fff, 0x3484ffff,
+0xaf4501f0, 0xaf4501f4, 0x10000009, 0x34630001,
+0x8f430004, 0x3484ffff, 0x2402fffe, 0xaf4501f0,
+0xaf4501f4, 0x621824, 0x3c020001, 0x2442f510,
+0x441024, 0x21082, 0xaf430004, 0x3c030800,
+0x431025, 0x3c010000, 0xac224138, 0x8f840054,
+0x41442, 0x41c82, 0x431021, 0x41cc2,
+0x431023, 0x41d02, 0x431021, 0x41d42,
+0x431023, 0x10000009, 0xaf4201f8, 0x3c040001,
+0x24844350, 0x34a51000, 0x2203021, 0x3821,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x8f420290,
+0x24420001, 0x1000020f, 0xaf420290, 0x27b00028,
+0x2002021, 0x24050210, 0xc002c1b, 0x24060008,
+0xc00248c, 0x2002021, 0x10000206, 0x0,
+0x3021, 0x8cc7022c, 0x27a40028, 0x71880,
+0x24e20001, 0x3047003f, 0x8c650300, 0x71080,
+0x8c430300, 0x24e20001, 0x3042003f, 0xacc2022c,
+0xafa50028, 0xc00248c, 0xafa3002c, 0x100001f5,
+0x0, 0x27b00028, 0x2002021, 0x24050210,
+0xc002c1b, 0x24060008, 0xc0025c8, 0x2002021,
+0x100001ec, 0x0, 0x3021, 0x8cc7022c,
+0x27a40028, 0x71880, 0x24e20001, 0x3047003f,
+0x8c650300, 0x71080, 0x8c430300, 0x24e20001,
+0x3042003f, 0xacc2022c, 0xafa50028, 0xc0025c8,
+0xafa3002c, 0x100001db, 0x0, 0x111302,
+0x30430fff, 0x24020001, 0x10620005, 0x24020002,
+0x1062001e, 0x3c050003, 0x10000034, 0x34a51100,
+0x3c030002, 0x2c31024, 0x54400037, 0x2c3b025,
+0x8f820228, 0x3c010001, 0x370821, 0xac2238d8,
+0x8f82022c, 0x3c010001, 0x370821, 0xac2238dc,
+0x8f820230, 0x3c010001, 0x370821, 0xac2238e0,
+0x8f820234, 0x3c010001, 0x370821, 0xac2238e4,
+0x2402ffff, 0xaf820228, 0xaf82022c, 0xaf820230,
+0xaf820234, 0x10000020, 0x2c3b025, 0x3c020002,
+0x2c21024, 0x10400012, 0x3c02fffd, 0x3c020001,
+0x571021, 0x8c4238d8, 0xaf820228, 0x3c020001,
+0x571021, 0x8c4238dc, 0xaf82022c, 0x3c020001,
+0x571021, 0x8c4238e0, 0xaf820230, 0x3c020001,
+0x571021, 0x8c4238e4, 0xaf820234, 0x3c02fffd,
+0x3442ffff, 0x10000008, 0x2c2b024, 0x3c040001,
+0x2484435c, 0x2203021, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x8f4202bc, 0x24420001,
+0x10000194, 0xaf4202bc, 0x111302, 0x30450fff,
+0x24020001, 0x10a20005, 0x24020002, 0x10a2000d,
+0x3c0408ff, 0x10000014, 0x3c050003, 0x3c0208ff,
+0x3442ffff, 0x8f830220, 0x3c040004, 0x2c4b025,
+0x621824, 0x34630008, 0xaf830220, 0x10000012,
+0xaf450288, 0x3484fff7, 0x3c03fffb, 0x8f820220,
+0x3463ffff, 0x2c3b024, 0x441024, 0xaf820220,
+0x10000009, 0xaf450288, 0x3c040001, 0x24844368,
+0x34a51200, 0x2203021, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x8f4202ac, 0x24420001,
+0x1000016c, 0xaf4202ac, 0x27840208, 0x24050200,
+0xc002c1b, 0x24060008, 0x27440214, 0x24050200,
+0xc002c1b, 0x24060008, 0x8f4202b4, 0x24420001,
+0x10000160, 0xaf4202b4, 0x111302, 0x30500fff,
+0x24020001, 0x12020012, 0x2a020002, 0x10400005,
+0x24020002, 0x12000007, 0x0, 0x10000019,
+0x0, 0x12020011, 0x1021, 0x10000015,
+0x0, 0x8e060248, 0x2021, 0xc004c80,
+0x24050004, 0x8e06024c, 0x1000000a, 0x2021,
+0x1021, 0x8c460248, 0x402021, 0xc004c80,
+0x24050004, 0x10000010, 0x0, 0x8c46024c,
+0x2021, 0xc004c80, 0x24050001, 0x1000000a,
+0x0, 0x3c040001, 0x24844374, 0x3c050003,
+0x34a51300, 0x2203021, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x8f4202b0, 0x24420001,
+0x10000130, 0xaf4202b0, 0xc00239b, 0x0,
+0x1000012c, 0x0, 0x24020001, 0xa34205b6,
+0x24100100, 0x8f440198, 0x8f45019c, 0xafb00010,
+0xafa00014, 0x8f420014, 0xafa20018, 0x8f420108,
+0x26e60028, 0x40f809, 0x24070400, 0x1040fff5,
+0x0, 0x1000011b, 0x0, 0x3c02ffff,
+0x34427fff, 0x2c2b024, 0x1821, 0x3c020900,
+0xaf400058, 0xaf40005c, 0xaf400060, 0xaf400064,
+0xaf400350, 0xafa20020, 0x8f5e0018, 0x27aa0020,
+0x240200ff, 0x13c20002, 0xafaa0030, 0x27c30001,
+0x2021, 0x8c820228, 0x609021, 0x1642000e,
+0x1e40c0, 0x8f42032c, 0x24420001, 0xaf42032c,
+0x8c820228, 0x3c050009, 0x34a50500, 0xafa00014,
+0xafa20010, 0x8fa60020, 0x3c040001, 0x2484430c,
+0x10000069, 0x3c03821, 0x2e81021, 0x8fab0020,
+0x8fac0024, 0xac4b04c0, 0xac4c04c4, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x1040001b, 0x9821, 0x1008821, 0x263504c0,
+0x8f440168, 0x8f45016c, 0x2204821, 0x240b0004,
+0xafab0010, 0xafb20014, 0x8f42000c, 0x4021,
+0x2f53021, 0xafa20018, 0x8f42010c, 0x24070008,
+0xa92821, 0xa9182b, 0x882021, 0x40f809,
+0x832021, 0x54400006, 0x24130001, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffe9, 0x0,
+0x326200ff, 0x54400015, 0xaf520018, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fac0030,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24844318,
+0x3c050009, 0xafa20014, 0x8d860000, 0x10000031,
+0x34a50600, 0x8f4202f8, 0x24130001, 0x24420001,
+0xaf4202f8, 0x1000001c, 0x326200ff, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x10400014, 0x9821, 0x24110010, 0x8f42000c,
+0x8f440150, 0x8f450154, 0x8f860120, 0xafb10010,
+0xafb20014, 0xafa20018, 0x8f42010c, 0x24070008,
+0x40f809, 0x24c6001c, 0x1440ffe6, 0x0,
+0x8f820054, 0x2021023, 0x2c4203e9, 0x1440ffef,
+0x0, 0x326200ff, 0x14400011, 0x0,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8fad0030, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24844320, 0x3c050009, 0xafa20014, 0x8da60000,
+0x34a50700, 0x3c03821, 0xc002bdf, 0x0,
+0x8f4202a0, 0x24420001, 0xaf4202a0, 0x8f4202e8,
+0x24420001, 0x10000087, 0xaf4202e8, 0x1821,
+0x8c62025c, 0x27440214, 0xaf4201e0, 0x8c620260,
+0x24050200, 0x24060008, 0xc002c1b, 0xaf4201e8,
+0x8f820220, 0x30420008, 0x14400002, 0x24020001,
+0x24020002, 0xaf420288, 0x8f42029c, 0x24420001,
+0x10000074, 0xaf42029c, 0x3c0200ff, 0x3442ffff,
+0x2221824, 0x32c20180, 0x14400006, 0x3402fffb,
+0x43102b, 0x14400003, 0x0, 0x10000069,
+0xaf4300bc, 0x3c040001, 0x24844380, 0x3c050003,
+0x34a51500, 0x2203021, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x3c020700, 0x34421000,
+0x111e02, 0x621825, 0xafa30020, 0x8f510018,
+0x240200ff, 0x12220002, 0x8021, 0x26300001,
+0x1821, 0x8c620228, 0x1602000d, 0x1130c0,
+0x8f42032c, 0x24420001, 0xaf42032c, 0x8c620228,
+0x3c040001, 0x248442f4, 0x3c050009, 0xafa00014,
+0xafa20010, 0x8fa60020, 0x1000003e, 0x34a50100,
+0xc04821, 0x2e61021, 0x8faa0020, 0x8fab0024,
+0xac4a04c0, 0xac4b04c4, 0x8f440168, 0x8f45016c,
+0x4021, 0x24020004, 0xafa20010, 0xafb00014,
+0x8f42000c, 0x24c604c0, 0x2e63021, 0xafa20018,
+0x8f42010c, 0x24070008, 0xa92821, 0xa9182b,
+0x882021, 0x40f809, 0x832021, 0x1440000b,
+0x24070008, 0x8f820120, 0xafa20010, 0x8f820124,
+0x3c040001, 0x248442fc, 0x3c050009, 0xafa20014,
+0x8fa60020, 0x1000001b, 0x34a50200, 0x8f440150,
+0x8f450154, 0x8f43000c, 0xaf500018, 0x8f860120,
+0x24020010, 0xafa20010, 0xafb00014, 0xafa30018,
+0x8f42010c, 0x40f809, 0x24c6001c, 0x1440000f,
+0x0, 0x8f420330, 0x24420001, 0xaf420330,
+0x8f820120, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24844304, 0x3c050009, 0xafa20014, 0x8fa60020,
+0x34a50300, 0xc002bdf, 0x2203821, 0x8f4202d0,
+0x24420001, 0xaf4202d0, 0x8f4202e0, 0x24420001,
+0xaf4202e0, 0x8fbf0050, 0x8fbe004c, 0x8fb50048,
+0x8fb30044, 0x8fb20040, 0x8fb1003c, 0x8fb00038,
+0x3e00008, 0x27bd0058, 0x27bdffc0, 0xafbf0038,
+0xafbe0034, 0xafb50030, 0xafb3002c, 0xafb20028,
+0xafb10024, 0xafb00020, 0x8f870220, 0xafa70014,
+0x8f870200, 0xafa70018, 0x8f820220, 0x3c0308ff,
+0x3463ffff, 0x431024, 0x34420004, 0xaf820220,
+0x8f820200, 0x3c03c0ff, 0x3463ffff, 0x431024,
+0x34420004, 0xaf820200, 0x8f53034c, 0x8f550350,
+0x8f5e0354, 0x8f470358, 0xafa70010, 0x8f4202c0,
+0x274401b0, 0x24420001, 0xaf4202c0, 0x8f5002c0,
+0x8f5101f4, 0x8f5201f0, 0xc002c10, 0x24050400,
+0xaf53034c, 0xaf550350, 0xaf5e0354, 0x8fa70010,
+0x1821, 0xaf470358, 0xaf5002c0, 0xaf5101f4,
+0xaf5201f0, 0x8c62025c, 0x27440214, 0xaf4201e0,
+0x8c620260, 0x24050200, 0x24060008, 0xaf4201e8,
+0x24020006, 0xc002c1b, 0xaf4201e4, 0x3c023b9a,
+0x3442ca00, 0xaf4201ec, 0x240203e8, 0x24040002,
+0x24030001, 0xaf420284, 0xaf440280, 0xaf43028c,
+0x8f820220, 0x30420008, 0x10400004, 0x0,
+0xaf430288, 0x10000003, 0x3021, 0xaf440288,
+0x3021, 0x3c030001, 0x661821, 0x90634f90,
+0x3461021, 0x24c60001, 0xa043021c, 0x2cc2000f,
+0x1440fff8, 0x3461821, 0x24c60001, 0x8f820040,
+0x24040080, 0x802821, 0x21702, 0x24420030,
+0xa062021c, 0x3461021, 0xc002c10, 0xa040021c,
+0x8fa70014, 0x30e20004, 0x14400006, 0x0,
+0x8f820220, 0x3c0308ff, 0x3463fffb, 0x431024,
+0xaf820220, 0x8fa70018, 0x30e20004, 0x14400006,
+0x0, 0x8f820200, 0x3c03c0ff, 0x3463fffb,
+0x431024, 0xaf820200, 0x8fbf0038, 0x8fbe0034,
+0x8fb50030, 0x8fb3002c, 0x8fb20028, 0x8fb10024,
+0x8fb00020, 0x3e00008, 0x27bd0040, 0x2408ffff,
+0x10a00014, 0x4821, 0x3c0aedb8, 0x354a8320,
+0x90870000, 0x24840001, 0x3021, 0x1071026,
+0x30420001, 0x10400002, 0x81842, 0x6a1826,
+0x604021, 0x24c60001, 0x2cc20008, 0x1440fff7,
+0x73842, 0x25290001, 0x125102b, 0x1440fff0,
+0x0, 0x3e00008, 0x1001021, 0x3e00008,
+0x0, 0x0, 0x0, 0x27bdffe8,
+0xafbf0014, 0xafb00010, 0x8f420104, 0x28420005,
+0x10400026, 0x808021, 0x3c020001, 0x8f430104,
+0x344230d0, 0x2e23021, 0x318c0, 0x621821,
+0x2e33821, 0xc7102b, 0x10400015, 0x1021,
+0x96080000, 0x24c40006, 0x9482fffc, 0x14480009,
+0x2821, 0x9483fffe, 0x96020002, 0x14620006,
+0xa01021, 0x94820000, 0x96030004, 0x431026,
+0x2c450001, 0xa01021, 0x1440000a, 0x24c60008,
+0xc7102b, 0x1440fff0, 0x24840008, 0x1021,
+0x304200ff, 0x14400031, 0x24020001, 0x1000002f,
+0x1021, 0x1000fffa, 0x24020001, 0x2002021,
+0xc002414, 0x24050006, 0x3042007f, 0x218c0,
+0x2e31021, 0x3c010001, 0x220821, 0x942230d0,
+0x1040fff2, 0x2e31021, 0x3c060001, 0xc23021,
+0x94c630d0, 0x10c0001d, 0x1021, 0x3c080001,
+0x350834d2, 0x96070000, 0x610c0, 0x572021,
+0x882021, 0x94820000, 0x14470009, 0x2821,
+0x94830002, 0x96020002, 0x14620006, 0xa01021,
+0x94820004, 0x96030004, 0x431026, 0x2c450001,
+0xa01021, 0x14400007, 0x610c0, 0x2e21021,
+0x3c060001, 0xc23021, 0x94c634d0, 0x14c0ffeb,
+0x610c0, 0x10c0ffd1, 0x24020001, 0x8fbf0014,
+0x8fb00010, 0x3e00008, 0x27bd0018, 0x27bdffb0,
+0x801021, 0xafb00030, 0x24500002, 0x2002021,
+0x24050006, 0xafb10034, 0x408821, 0xafbf0048,
+0xafbe0044, 0xafb50040, 0xafb3003c, 0xc002414,
+0xafb20038, 0x3047007f, 0x710c0, 0x2e21021,
+0x3c050001, 0xa22821, 0x94a530d0, 0x10a0001c,
+0xa03021, 0x3c090001, 0x352934d2, 0x96280002,
+0x510c0, 0x572021, 0x892021, 0x94820000,
+0x14480009, 0x3021, 0x94830002, 0x96020002,
+0x14620006, 0xc01021, 0x94820004, 0x96030004,
+0x431026, 0x2c460001, 0xc01021, 0x14400007,
+0x510c0, 0x2e21021, 0x3c050001, 0xa22821,
+0x94a534d0, 0x14a0ffeb, 0x510c0, 0xa03021,
+0x10c00014, 0x610c0, 0x571821, 0x3c010001,
+0x230821, 0x8c2334d0, 0x571021, 0xafa30010,
+0x3c010001, 0x220821, 0x8c2234d4, 0x3c040001,
+0x24844494, 0xafa20014, 0x8e260000, 0x8e270004,
+0x3c050004, 0xc002bdf, 0x34a50400, 0x10000063,
+0x3c020800, 0x8f450100, 0x10a00006, 0x510c0,
+0x2e21021, 0x3c010001, 0x220821, 0x942234d0,
+0xaf420100, 0xa03021, 0x14c00011, 0x628c0,
+0x710c0, 0x2e21021, 0xafa70010, 0x3c010001,
+0x220821, 0x942230d0, 0x3c040001, 0x248444a0,
+0xafa20014, 0x8e260000, 0x8e270004, 0x3c050004,
+0xc002bdf, 0x34a50500, 0x10000048, 0x3c020800,
+0xb71821, 0x3c020001, 0x96040000, 0x344234d2,
+0x621821, 0xa4640000, 0x8e020002, 0x720c0,
+0xac620002, 0x2e41021, 0x3c030001, 0x621821,
+0x946330d0, 0x2e51021, 0x3c010001, 0x220821,
+0xa42334d0, 0x2e41021, 0x3c010001, 0x220821,
+0xa42630d0, 0x8f420104, 0x24420001, 0x28420080,
+0x1040000d, 0x3c040001, 0x8f420104, 0x348430d2,
+0x96030000, 0x210c0, 0x571021, 0x441021,
+0xa4430000, 0x8e030002, 0xac430002, 0x8f420104,
+0x24420001, 0xaf420104, 0x3c020002, 0x2c21024,
+0x10400012, 0x71942, 0x24020003, 0x431023,
+0x21080, 0x2e22821, 0xa01021, 0x30e4001f,
+0x3c030001, 0x621821, 0x8c6338d8, 0x24020001,
+0x821004, 0x621825, 0x3c010001, 0x250821,
+0xac2338d8, 0x1000000d, 0x3c020800, 0x24020003,
+0x431023, 0x21080, 0x3822821, 0xa01021,
+0x30e4001f, 0x8c430228, 0x24020001, 0x821004,
+0x621825, 0xaca30228, 0x3c020800, 0x34421000,
+0x1821, 0xafa20020, 0x8f5e0018, 0x27aa0020,
+0x240200ff, 0x13c20002, 0xafaa0028, 0x27c30001,
+0x2021, 0x8c820228, 0x609021, 0x1642000e,
+0x1e40c0, 0x8f42032c, 0x24420001, 0xaf42032c,
+0x8c820228, 0x3c050009, 0x34a50500, 0xafa00014,
+0xafa20010, 0x8fa60020, 0x3c040001, 0x2484445c,
+0x10000069, 0x3c03821, 0x2e81021, 0x8fab0020,
+0x8fac0024, 0xac4b04c0, 0xac4c04c4, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x1040001b, 0x9821, 0x1008821, 0x263504c0,
+0x8f440168, 0x8f45016c, 0x2204821, 0x240b0004,
+0xafab0010, 0xafb20014, 0x8f42000c, 0x4021,
+0x2f53021, 0xafa20018, 0x8f42010c, 0x24070008,
+0xa92821, 0xa9182b, 0x882021, 0x40f809,
+0x832021, 0x54400006, 0x24130001, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffe9, 0x0,
+0x326200ff, 0x54400015, 0xaf520018, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fac0028,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24844468,
+0x3c050009, 0xafa20014, 0x8d860000, 0x10000031,
+0x34a50600, 0x8f4202f8, 0x24130001, 0x24420001,
+0xaf4202f8, 0x1000001c, 0x326200ff, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x10400014, 0x9821, 0x24110010, 0x8f42000c,
+0x8f440150, 0x8f450154, 0x8f860120, 0xafb10010,
+0xafb20014, 0xafa20018, 0x8f42010c, 0x24070008,
+0x40f809, 0x24c6001c, 0x1440ffe6, 0x0,
+0x8f820054, 0x2021023, 0x2c4203e9, 0x1440ffef,
+0x0, 0x326200ff, 0x14400011, 0x0,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8fad0028, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24844470, 0x3c050009, 0xafa20014, 0x8da60000,
+0x34a50700, 0x3c03821, 0xc002bdf, 0x0,
+0x8f4202a4, 0x24420001, 0xaf4202a4, 0x8f4202e4,
+0x24420001, 0xaf4202e4, 0x8fbf0048, 0x8fbe0044,
+0x8fb50040, 0x8fb3003c, 0x8fb20038, 0x8fb10034,
+0x8fb00030, 0x3e00008, 0x27bd0050, 0x27bdffb0,
+0x801021, 0xafb00030, 0x24500002, 0x2002021,
+0x24050006, 0xafb10034, 0x408821, 0xafbf0048,
+0xafbe0044, 0xafb50040, 0xafb3003c, 0xc002414,
+0xafb20038, 0x3048007f, 0x810c0, 0x2e21021,
+0x3c060001, 0xc23021, 0x94c630d0, 0x3821,
+0x10c0001c, 0x27ab0028, 0x3c0a0001, 0x354a34d2,
+0x96290002, 0x610c0, 0x572021, 0x8a2021,
+0x94820000, 0x14490009, 0x2821, 0x94830002,
+0x96020002, 0x14620006, 0xa01021, 0x94820004,
+0x96030004, 0x431026, 0x2c450001, 0xa01021,
+0x14400008, 0x610c0, 0xc03821, 0x2e21021,
+0x3c060001, 0xc23021, 0x94c634d0, 0x14c0ffea,
+0x610c0, 0x14c00011, 0xad670000, 0x810c0,
+0x2e21021, 0xafa80010, 0x3c010001, 0x220821,
+0x942230d0, 0x3c040001, 0x248444ac, 0xafa20014,
+0x8e260000, 0x8e270004, 0x3c050004, 0xc002bdf,
+0x34a50900, 0x10000076, 0x3c020800, 0x8fa40028,
+0x1080000c, 0x610c0, 0x2e21021, 0x3c030001,
+0x621821, 0x946334d0, 0x410c0, 0x2e21021,
+0x3c010001, 0x220821, 0xa42334d0, 0x1000000b,
+0x3c040001, 0x2e21021, 0x3c030001, 0x621821,
+0x946334d0, 0x810c0, 0x2e21021, 0x3c010001,
+0x220821, 0xa42330d0, 0x3c040001, 0x348430d0,
+0x97430102, 0x610c0, 0x2e21021, 0x3c010001,
+0x220821, 0xa42334d0, 0x8f420104, 0x2e43821,
+0x2821, 0x18400028, 0xaf460100, 0x94e30002,
+0x96020000, 0x3021, 0x14620009, 0x24e40002,
+0x94830002, 0x96020002, 0x14620006, 0xc01021,
+0x94820004, 0x96030004, 0x431026, 0x2c460001,
+0xc01021, 0x50400014, 0x24a50001, 0x8f420104,
+0x2442ffff, 0xa2102a, 0x1040000b, 0x24e40004,
+0x94820006, 0x8c830008, 0xa482fffe, 0xac830000,
+0x8f420104, 0x24a50001, 0x2442ffff, 0xa2102a,
+0x1440fff7, 0x24840008, 0x8f420104, 0x2442ffff,
+0x10000005, 0xaf420104, 0x8f420104, 0xa2102a,
+0x1440ffda, 0x24e70008, 0x810c0, 0x2e21021,
+0x3c010001, 0x220821, 0x942230d0, 0x14400024,
+0x3c020800, 0x3c020002, 0x2c21024, 0x10400013,
+0x81942, 0x24020003, 0x431023, 0x21080,
+0x2e22821, 0xa01821, 0x3104001f, 0x24020001,
+0x3c010001, 0x230821, 0x8c2338d8, 0x821004,
+0x21027, 0x621824, 0x3c010001, 0x250821,
+0xac2338d8, 0x1000000e, 0x3c020800, 0x24020003,
+0x431023, 0x21080, 0x3822821, 0xa01821,
+0x3104001f, 0x24020001, 0x8c630228, 0x821004,
+0x21027, 0x621824, 0xaca30228, 0x3c020800,
+0x34422000, 0x1821, 0xafa20020, 0x8f5e0018,
+0x27ac0020, 0x240200ff, 0x13c20002, 0xafac002c,
+0x27c30001, 0x2021, 0x8c820228, 0x609021,
+0x1642000e, 0x1e40c0, 0x8f42032c, 0x24420001,
+0xaf42032c, 0x8c820228, 0x3c050009, 0x34a50500,
+0xafa00014, 0xafa20010, 0x8fa60020, 0x3c040001,
+0x2484445c, 0x10000069, 0x3c03821, 0x2e81021,
+0x8fad0020, 0x8fae0024, 0xac4d04c0, 0xac4e04c4,
+0x8f830054, 0x8f820054, 0x247003e8, 0x2021023,
+0x2c4203e9, 0x1040001b, 0x9821, 0x1008821,
+0x263504c0, 0x8f440168, 0x8f45016c, 0x2204821,
+0x240d0004, 0xafad0010, 0xafb20014, 0x8f42000c,
+0x4021, 0x2f53021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x54400006, 0x24130001,
+0x8f820054, 0x2021023, 0x2c4203e9, 0x1440ffe9,
+0x0, 0x326200ff, 0x54400015, 0xaf520018,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8fae002c, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24844468, 0x3c050009, 0xafa20014, 0x8dc60000,
+0x10000031, 0x34a50600, 0x8f4202f8, 0x24130001,
+0x24420001, 0xaf4202f8, 0x1000001c, 0x326200ff,
+0x8f830054, 0x8f820054, 0x247003e8, 0x2021023,
+0x2c4203e9, 0x10400014, 0x9821, 0x24110010,
+0x8f42000c, 0x8f440150, 0x8f450154, 0x8f860120,
+0xafb10010, 0xafb20014, 0xafa20018, 0x8f42010c,
+0x24070008, 0x40f809, 0x24c6001c, 0x1440ffe6,
+0x0, 0x8f820054, 0x2021023, 0x2c4203e9,
+0x1440ffef, 0x0, 0x326200ff, 0x14400011,
+0x0, 0x8f420368, 0x24420001, 0xaf420368,
+0x8f820120, 0x8faf002c, 0xafa20010, 0x8f820124,
+0x3c040001, 0x24844470, 0x3c050009, 0xafa20014,
+0x8de60000, 0x34a50700, 0x3c03821, 0xc002bdf,
+0x0, 0x8f4202a8, 0x24420001, 0xaf4202a8,
+0x8f4202e4, 0x24420001, 0xaf4202e4, 0x8fbf0048,
+0x8fbe0044, 0x8fb50040, 0x8fb3003c, 0x8fb20038,
+0x8fb10034, 0x8fb00030, 0x3e00008, 0x27bd0050,
+0xaf400104, 0x24040001, 0x410c0, 0x2e21821,
+0x24820001, 0x3c010001, 0x230821, 0xa42234d0,
+0x402021, 0x2c820080, 0x1440fff8, 0x410c0,
+0x24020001, 0x3c010001, 0x370821, 0xa42038d0,
+0xaf420100, 0xaf800228, 0xaf80022c, 0xaf800230,
+0x3e00008, 0xaf800234, 0x3e00008, 0x0,
+0x0, 0x0, 0x0, 0x27bdffe0,
+0x27644000, 0x24051000, 0xafbf001c, 0xc002c10,
+0xafb00018, 0x3c030001, 0x34632cc0, 0x3c040001,
+0x34842ec8, 0x24020020, 0xaf82011c, 0x2e31021,
+0xaf800100, 0xaf800104, 0xaf800108, 0xaf800110,
+0xaf800114, 0xaf800118, 0xaf800120, 0xaf800124,
+0xaf800128, 0xaf800130, 0xaf800134, 0xaf800138,
+0xaf4200ec, 0xaf4200f0, 0x2e41021, 0xaf4200f4,
+0xaf4200f8, 0x3c100001, 0x2178021, 0x921040f4,
+0x1600001c, 0x3c050001, 0x8f82011c, 0x3c040001,
+0x24844570, 0x3c050001, 0x34420001, 0xaf82011c,
+0xafa00010, 0xafa00014, 0x8f86011c, 0x34a50100,
+0xc002bdf, 0x3821, 0x8e020218, 0x30420040,
+0x10400014, 0x0, 0x8f82011c, 0x3c040001,
+0x2484457c, 0x3c050001, 0x34420004, 0xaf82011c,
+0xafa00010, 0xafa00014, 0x8f86011c, 0x10000007,
+0x34a50200, 0x3c040001, 0x24844584, 0xafa00010,
+0xafa00014, 0x8f86011c, 0x34a50300, 0xc002bdf,
+0x3821, 0x8fbf001c, 0x8fb00018, 0x3e00008,
+0x27bd0020, 0x27bdffd8, 0x3c040001, 0x2484459c,
+0x3c050001, 0xafbf0024, 0xafb20020, 0xafb1001c,
+0xafb00018, 0x8f900104, 0x8f9100b0, 0x8f92011c,
+0x34a52500, 0x8f820100, 0x2403021, 0x2203821,
+0xafa20010, 0xc002bdf, 0xafb00014, 0x8e020008,
+0xafa20010, 0x8e02000c, 0x3c040001, 0x248445a8,
+0xafa20014, 0x8e060000, 0x8e070004, 0x3c050001,
+0xc002bdf, 0x34a52510, 0x8e020018, 0xafa20010,
+0x8e02001c, 0x3c040001, 0x248445b4, 0xafa20014,
+0x8e060010, 0x8e070014, 0x3c050001, 0xc002bdf,
+0x34a52520, 0x3c027f00, 0x2221024, 0x3c030800,
+0x14430016, 0x3c030200, 0x8f82009c, 0x3042ffff,
+0x14400013, 0x2c31024, 0x3c040001, 0x248445c0,
+0x3c050002, 0x34a5f030, 0x3021, 0xc03821,
+0x36420002, 0xaf82011c, 0x36220001, 0xaf8200b0,
+0xaf900104, 0xaf92011c, 0xafa00010, 0xc002bdf,
+0xafa00014, 0x10000023, 0x0, 0x2c31024,
+0x1040000c, 0x2231024, 0x1040000a, 0x36420002,
+0xaf82011c, 0x36220001, 0xaf8200b0, 0xaf900104,
+0xaf92011c, 0x8f420320, 0x24420001, 0x10000015,
+0xaf420320, 0x3c040001, 0x2484458c, 0x240202a2,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74594, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x8fbf0024, 0x8fb20020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0028, 0x27bdffd8,
+0x3c040001, 0x248445c8, 0x3c050001, 0xafbf0024,
+0xafb20020, 0xafb1001c, 0xafb00018, 0x8f900124,
+0x8f9100a0, 0x8f92011c, 0x34a52600, 0x8f820120,
+0x2403021, 0x2203821, 0xafa20010, 0xc002bdf,
+0xafb00014, 0x8e020008, 0xafa20010, 0x8e02000c,
+0x3c040001, 0x248445d4, 0xafa20014, 0x8e060000,
+0x8e070004, 0x3c050001, 0xc002bdf, 0x34a52610,
+0x8e020018, 0xafa20010, 0x8e02001c, 0x3c040001,
+0x248445e0, 0xafa20014, 0x8e060010, 0x8e070014,
+0x3c050001, 0xc002bdf, 0x34a52620, 0x3c027f00,
+0x2221024, 0x3c030800, 0x14430016, 0x3c030200,
+0x8f8200ac, 0x3042ffff, 0x14400013, 0x2c31024,
+0x3c040001, 0x248445ec, 0x3c050001, 0x34a5f030,
+0x3021, 0xc03821, 0x36420002, 0xaf82011c,
+0x36220001, 0xaf8200a0, 0xaf900124, 0xaf92011c,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x10000023,
+0x0, 0x2c31024, 0x1040000c, 0x2231024,
+0x1040000a, 0x36420002, 0xaf82011c, 0x36220001,
+0xaf8200a0, 0xaf900124, 0xaf92011c, 0x8f42031c,
+0x24420001, 0x10000015, 0xaf42031c, 0x3c040001,
+0x2484458c, 0x240202db, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74594, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf0024,
+0x8fb20020, 0x8fb1001c, 0x8fb00018, 0x3e00008,
+0x27bd0028, 0x6021, 0x1805021, 0x1803021,
+0x1802821, 0x1806821, 0x1804821, 0x1807821,
+0x1807021, 0x8f880124, 0x8f870104, 0x1580002e,
+0x8f8b011c, 0x11a00014, 0x31620800, 0x8f820120,
+0x10460029, 0x0, 0x3c040001, 0x8c845130,
+0x8cc20000, 0x8cc30004, 0xac820000, 0xac830004,
+0x8cc20008, 0xac820008, 0x94c2000e, 0xa482000e,
+0x8cc20010, 0x240c0001, 0xac820010, 0x8cc20014,
+0x10000012, 0x24c60020, 0x10400017, 0x0,
+0x3c040001, 0x8c845130, 0x8d020000, 0x8d030004,
+0xac820000, 0xac830004, 0x8d020008, 0xac820008,
+0x9502000e, 0xa482000e, 0x8d020010, 0x25060020,
+0xac820010, 0x8d020014, 0x240c0001, 0xc01821,
+0xac820014, 0x27624fe0, 0x43102b, 0x54400001,
+0x27634800, 0x603021, 0x1540002f, 0x31620100,
+0x11200014, 0x31628000, 0x8f820100, 0x1045002a,
+0x31620100, 0x3c040001, 0x8c84512c, 0x8ca20000,
+0x8ca30004, 0xac820000, 0xac830004, 0x8ca20008,
+0xac820008, 0x94a2000e, 0xa482000e, 0x8ca20010,
+0x240a0001, 0xac820010, 0x8ca20014, 0x10000012,
+0x24a50020, 0x10400018, 0x31620100, 0x3c040001,
+0x8c84512c, 0x8ce20000, 0x8ce30004, 0xac820000,
+0xac830004, 0x8ce20008, 0xac820008, 0x94e2000e,
+0xa482000e, 0x8ce20010, 0x24e50020, 0xac820010,
+0x8ce20014, 0x240a0001, 0xa01821, 0xac820014,
+0x276247e0, 0x43102b, 0x54400001, 0x27634000,
+0x602821, 0x31620100, 0x1440001d, 0x31621000,
+0x11a00009, 0x31a20800, 0x10400004, 0x25020020,
+0x8f8200a8, 0xa5e20000, 0x25020020, 0xaf820124,
+0x8f880124, 0x6821, 0x11800011, 0x31621000,
+0x3c040001, 0x8c845130, 0x8c820000, 0x8c830004,
+0xaf820080, 0xaf830084, 0x8c820008, 0xaf8200a4,
+0x9482000e, 0xaf8200ac, 0x8c820010, 0x6021,
+0xaf8200a0, 0x8c8d0010, 0x8c8f0014, 0x31621000,
+0x1440ff82, 0x0, 0x1120000f, 0x31220800,
+0x10400004, 0x3c020002, 0x8f8200b8, 0xa5c20000,
+0x3c020002, 0x1221024, 0x10400004, 0x24e20020,
+0x8f8200b4, 0xaf8200d4, 0x24e20020, 0xaf820104,
+0x8f870104, 0x4821, 0x1140ff70, 0x0,
+0x3c040001, 0x8c84512c, 0x8c820000, 0x8c830004,
+0xaf820090, 0xaf830094, 0x8c820008, 0xaf8200b4,
+0x9482000e, 0xaf82009c, 0x8c820010, 0x5021,
+0xaf8200b0, 0x8c890010, 0x1000ff60, 0x8c8e0014,
+0x6021, 0x1805821, 0x1803021, 0x1802821,
+0x1806821, 0x1805021, 0x1807821, 0x1807021,
+0x8f880124, 0x8f870104, 0x3c180100, 0x1580002e,
+0x8f89011c, 0x11a00014, 0x31220800, 0x8f820120,
+0x10460029, 0x0, 0x3c040001, 0x8c845130,
+0x8cc20000, 0x8cc30004, 0xac820000, 0xac830004,
+0x8cc20008, 0xac820008, 0x94c2000e, 0xa482000e,
+0x8cc20010, 0x240c0001, 0xac820010, 0x8cc20014,
+0x10000012, 0x24c60020, 0x10400017, 0x0,
+0x3c040001, 0x8c845130, 0x8d020000, 0x8d030004,
+0xac820000, 0xac830004, 0x8d020008, 0xac820008,
+0x9502000e, 0xa482000e, 0x8d020010, 0x25060020,
+0xac820010, 0x8d020014, 0x240c0001, 0xc01821,
+0xac820014, 0x27624fe0, 0x43102b, 0x54400001,
+0x27634800, 0x603021, 0x1560002f, 0x31220100,
+0x11400014, 0x31228000, 0x8f820100, 0x1045002a,
+0x31220100, 0x3c040001, 0x8c84512c, 0x8ca20000,
+0x8ca30004, 0xac820000, 0xac830004, 0x8ca20008,
+0xac820008, 0x94a2000e, 0xa482000e, 0x8ca20010,
+0x240b0001, 0xac820010, 0x8ca20014, 0x10000012,
+0x24a50020, 0x10400018, 0x31220100, 0x3c040001,
+0x8c84512c, 0x8ce20000, 0x8ce30004, 0xac820000,
+0xac830004, 0x8ce20008, 0xac820008, 0x94e2000e,
+0xa482000e, 0x8ce20010, 0x24e50020, 0xac820010,
+0x8ce20014, 0x240b0001, 0xa01821, 0xac820014,
+0x276247e0, 0x43102b, 0x54400001, 0x27634000,
+0x602821, 0x31220100, 0x1440001d, 0x31221000,
+0x11a00009, 0x31a20800, 0x10400004, 0x25020020,
+0x8f8200a8, 0xa5e20000, 0x25020020, 0xaf820124,
+0x8f880124, 0x6821, 0x11800011, 0x31221000,
+0x3c040001, 0x8c845130, 0x8c820000, 0x8c830004,
+0xaf820080, 0xaf830084, 0x8c820008, 0xaf8200a4,
+0x9482000e, 0xaf8200ac, 0x8c820010, 0x6021,
+0xaf8200a0, 0x8c8d0010, 0x8c8f0014, 0x31221000,
+0x14400022, 0x0, 0x1140000f, 0x31420800,
+0x10400004, 0x3c020002, 0x8f8200b8, 0xa5c20000,
+0x3c020002, 0x1421024, 0x10400004, 0x24e20020,
+0x8f8200b4, 0xaf8200d4, 0x24e20020, 0xaf820104,
+0x8f870104, 0x5021, 0x11600010, 0x0,
+0x3c040001, 0x8c84512c, 0x8c820000, 0x8c830004,
+0xaf820090, 0xaf830094, 0x8c820008, 0xaf8200b4,
+0x9482000e, 0xaf82009c, 0x8c820010, 0x5821,
+0xaf8200b0, 0x8c8a0010, 0x8c8e0014, 0x8f820070,
+0x3c031000, 0x431024, 0x1040ff5c, 0x0,
+0x8f820054, 0x1821, 0x24420005, 0xaf820078,
+0x8c640234, 0x10800017, 0x0, 0x3c020001,
+0x571021, 0x8c4240e8, 0x24420005, 0x3c010001,
+0x370821, 0xac2240e8, 0x3c020001, 0x571021,
+0x8c4240e8, 0x44102b, 0x1440000a, 0x24020001,
+0x3c030080, 0x3c010001, 0x370821, 0xac2040e8,
+0x3c010001, 0x370821, 0xa02240f0, 0x1000000d,
+0x1021, 0x3c020001, 0x571021, 0x904240f0,
+0x14400006, 0x3c020080, 0x3c020001, 0x571021,
+0x904240f1, 0x10400002, 0x3c020080, 0x621825,
+0x1021, 0x8c440230, 0x10800013, 0x0,
+0x3c020001, 0x571021, 0x8c4240ec, 0x24420005,
+0x3c010001, 0x370821, 0xac2240ec, 0x3c020001,
+0x571021, 0x8c4240ec, 0x44102b, 0x14400006,
+0x0, 0x3c010001, 0x370821, 0xac2040ec,
+0x10000006, 0x781825, 0x3c020001, 0x571021,
+0x904240f2, 0x54400001, 0x781825, 0x1060ff17,
+0x0, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x431025,
+0xaf820060, 0x8f420000, 0x10400003, 0x0,
+0x1000ff02, 0xaf80004c, 0x1000ff00, 0xaf800048,
+0x27bdffc8, 0xafb30024, 0xafb20020, 0x809021,
+0xa09821, 0xafb50028, 0xc0a821, 0xafbf0030,
+0xafbe002c, 0xafb1001c, 0xafb00018, 0x8f900120,
+0x27624fe0, 0x16020003, 0xe0f021, 0x10000002,
+0x27714800, 0x26110020, 0x8f820128, 0x16220006,
+0x0, 0x8f430324, 0x1021, 0x24630001,
+0x10000028, 0xaf430324, 0x8f820124, 0x16220014,
+0x240201a7, 0x3c040001, 0x2484458c, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74594,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0xae120000, 0xae130004, 0xae150008, 0xa61e000e,
+0x8fa20048, 0xae020018, 0x8fa2004c, 0xae02001c,
+0x26020016, 0xae020014, 0x8fa20050, 0xae020010,
+0xaf910120, 0x8f4300fc, 0x24020001, 0x2463ffff,
+0xaf4300fc, 0x8fbf0030, 0x8fbe002c, 0x8fb50028,
+0x8fb30024, 0x8fb20020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0038, 0x3e00008, 0x0,
+0x27bdffd8, 0xafbf0020, 0xafb1001c, 0xafb00018,
+0x8f83012c, 0x8fb10038, 0x1060000a, 0x27624fe0,
+0x14620002, 0x24680020, 0x27684800, 0x8f820128,
+0x11020004, 0x0, 0x8f890124, 0x15090006,
+0x0, 0x8f430324, 0x1021, 0x24630001,
+0x10000053, 0xaf430324, 0xac640000, 0xac650004,
+0xac660008, 0xa467000e, 0xac710018, 0x8fa2003c,
+0xac62001c, 0x8fa20040, 0xac690014, 0xac620010,
+0xaf880120, 0x8f4200fc, 0x8f5000f4, 0x2442ffff,
+0xaf4200fc, 0x8e020000, 0x10510005, 0x3042ff8f,
+0x10400018, 0x3222ff8f, 0x10400017, 0x3c020001,
+0x8e030004, 0x2c620010, 0x10400012, 0x3c020001,
+0x24630001, 0xae030004, 0x8f4300f8, 0x344230c8,
+0x2e21021, 0x54620004, 0x24620008, 0x3c020001,
+0x34422ec8, 0x2e21021, 0x1450002d, 0x24020001,
+0x8f820128, 0x24420020, 0xaf820128, 0x10000028,
+0x24020001, 0x3c020001, 0x344230c8, 0x2e21021,
+0x16020004, 0x26030008, 0x3c020001, 0x34422ec8,
+0x2e21821, 0x8f4200f8, 0x608021, 0x12020004,
+0xaf5000f4, 0x8e020000, 0x10400016, 0x24020001,
+0x2402016d, 0x3c040001, 0x2484458c, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74594,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x24020001, 0xae110000, 0xae020004, 0x24020001,
+0x8fbf0020, 0x8fb1001c, 0x8fb00018, 0x3e00008,
+0x27bd0028, 0x3e00008, 0x0, 0x27bdffc8,
+0xafb30024, 0xafb20020, 0x809021, 0xa09821,
+0xafb50028, 0xc0a821, 0xafbf0030, 0xafbe002c,
+0xafb1001c, 0xafb00018, 0x8f900100, 0x276247e0,
+0x16020003, 0xe0f021, 0x10000002, 0x27714000,
+0x26110020, 0x8f820108, 0x16220006, 0x0,
+0x8f430328, 0x1021, 0x24630001, 0x10000025,
+0xaf430328, 0x8f820104, 0x16220014, 0x24020247,
+0x3c040001, 0x2484458c, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74594, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0xae120000,
+0xae130004, 0xae150008, 0xa61e000e, 0x8fa30048,
+0xae030018, 0x8fa3004c, 0xae03001c, 0x26030016,
+0xae030014, 0x8fa30050, 0x24020001, 0xae030010,
+0xaf910100, 0x8fbf0030, 0x8fbe002c, 0x8fb50028,
+0x8fb30024, 0x8fb20020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0038, 0x3e00008, 0x0,
+0x27bdffd8, 0xafbf0020, 0xafb1001c, 0xafb00018,
+0x8f83010c, 0x8fb10038, 0x1060000a, 0x276247e0,
+0x14620002, 0x24680020, 0x27684000, 0x8f820108,
+0x11020004, 0x0, 0x8f890104, 0x15090006,
+0x0, 0x8f430328, 0x1021, 0x24630001,
+0x1000004f, 0xaf430328, 0xac640000, 0xac650004,
+0xac660008, 0xa467000e, 0xac710018, 0x8fa2003c,
+0xac62001c, 0x8fa20040, 0xac690014, 0xac620010,
+0xaf880100, 0x8f5000ec, 0x8e020000, 0x30420006,
+0x10400018, 0x32220006, 0x10400017, 0x3c020001,
+0x8e030004, 0x2c620010, 0x10400012, 0x3c020001,
+0x24630001, 0xae030004, 0x8f4300f0, 0x34422ec0,
+0x2e21021, 0x54620004, 0x24620008, 0x3c020001,
+0x34422cc0, 0x2e21021, 0x1450002d, 0x24020001,
+0x8f820108, 0x24420020, 0xaf820108, 0x10000028,
+0x24020001, 0x3c020001, 0x34422ec0, 0x2e21021,
+0x16020004, 0x26030008, 0x3c020001, 0x34422cc0,
+0x2e21821, 0x8f4200f0, 0x608021, 0x12020004,
+0xaf5000ec, 0x8e020000, 0x10400016, 0x24020001,
+0x2402020d, 0x3c040001, 0x2484458c, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74594,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x24020001, 0xae110000, 0xae020004, 0x24020001,
+0x8fbf0020, 0x8fb1001c, 0x8fb00018, 0x3e00008,
+0x27bd0028, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x27bdffe0,
+0xafb10014, 0x3c110001, 0x8e314fb8, 0xafbf0018,
+0x16200012, 0xafb00010, 0x3c100001, 0x261051d0,
+0x2002021, 0xc002c10, 0x24052000, 0x26021fe0,
+0x3c010001, 0xac225138, 0x3c010001, 0xac225134,
+0xae220250, 0x24022000, 0xae300254, 0xae220258,
+0x24020001, 0x3c010001, 0xac224fb8, 0x8fbf0018,
+0x8fb10014, 0x8fb00010, 0x3e00008, 0x27bd0020,
+0x27bdffd8, 0xafb10014, 0x808821, 0xafb00010,
+0x3c100001, 0x8e105138, 0x3c020001, 0x8c424fa0,
+0x8fa40040, 0xafb20018, 0xa09021, 0xafbf0024,
+0xafb50020, 0xafb3001c, 0xae020000, 0x3c020001,
+0x8c424fa0, 0xc09821, 0xe0a821, 0x10800006,
+0xae020004, 0x26050008, 0xc002c04, 0x24060018,
+0x10000005, 0x2610ffe0, 0x26040008, 0xc002c10,
+0x24050018, 0x2610ffe0, 0x3c040001, 0x248451d0,
+0x204102b, 0x10400003, 0x0, 0x3c100001,
+0x8e105134, 0x8e220000, 0xae020000, 0x8e220004,
+0xae120008, 0xae020004, 0x8f830054, 0xae130010,
+0xae150014, 0x8fa20038, 0xae020018, 0x8fa2003c,
+0xae03000c, 0xae02001c, 0x2610ffe0, 0x204102b,
+0x10400003, 0x0, 0x3c100001, 0x8e105134,
+0x3c020001, 0x8c424fa0, 0xae020000, 0x3c020001,
+0x8c424fa0, 0x3c010001, 0xac305138, 0xae020004,
+0x1021, 0xac500250, 0x8fbf0024, 0x8fb50020,
+0x8fb3001c, 0x8fb20018, 0x8fb10014, 0x8fb00010,
+0x3e00008, 0x27bd0028, 0x3c090001, 0x8d295138,
+0x8c820000, 0x8fa30010, 0x8fa80014, 0xad220000,
+0x8c820004, 0xad250008, 0xad220004, 0x8f820054,
+0xad260010, 0xad270014, 0xad230018, 0xad28001c,
+0xad22000c, 0x2529ffe0, 0x3c020001, 0x244251d0,
+0x122102b, 0x10400003, 0x0, 0x3c090001,
+0x8d295134, 0x3c020001, 0x8c424fa0, 0xad220000,
+0x3c020001, 0x8c424fa0, 0x3c010001, 0xac295138,
+0xad220004, 0x1021, 0x3e00008, 0xac490250,
+0x0, 0x0, 0x0, 0xa61821,
+0xa3102b, 0x10400007, 0x0, 0x8c820000,
+0xaca20000, 0x24a50004, 0xa3102b, 0x1440fffb,
+0x24840004, 0x3e00008, 0x0, 0x851821,
+0x83102b, 0x10400006, 0x0, 0xac800000,
+0x24840004, 0x83102b, 0x5440fffd, 0xac800000,
+0x3e00008, 0x0, 0x861821, 0x83102b,
+0x10400007, 0x0, 0x8ca20000, 0xac820000,
+0x24840004, 0x83102b, 0x1440fffb, 0x24a50004,
+0x3e00008, 0x0, 0x63080, 0x861821,
+0x83102b, 0x10400006, 0x0, 0xac850000,
+0x24840004, 0x83102b, 0x5440fffd, 0xac850000,
+0x3e00008, 0x0, 0x0, 0x27bdffd0,
+0xafbf0028, 0xafb10024, 0xafb00020, 0x8f430024,
+0x8f420020, 0x1062004b, 0x401821, 0x8f420024,
+0x628823, 0x6210003, 0x0, 0x8f420040,
+0x2228821, 0x8f430030, 0x8f420024, 0x43102b,
+0x14400005, 0x0, 0x8f430040, 0x8f420024,
+0x10000005, 0x628023, 0x8f420030, 0x8f430024,
+0x431023, 0x2450ffff, 0x16000016, 0x2206821,
+0x3c040001, 0x24844874, 0x24020203, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74884,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x2206821, 0x20d102a, 0x54400001, 0x2006821,
+0x8f4b0024, 0x8f4a0040, 0x8f440170, 0x8f450174,
+0x8f4c001c, 0xd3900, 0x24080001, 0x1604821,
+0x1203021, 0x94900, 0x1201821, 0x16d5821,
+0x254affff, 0x16a8024, 0xafa80010, 0xafb00014,
+0x8f480014, 0x1021, 0xa32821, 0xa3482b,
+0x822021, 0x892021, 0xafa80018, 0x8f420108,
+0x63100, 0x40f809, 0x1863021, 0x54400001,
+0xaf500024, 0x8f430024, 0x8f420020, 0x14620018,
+0x0, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x2403ffef,
+0x431024, 0xaf820060, 0x8f420000, 0x10400003,
+0x0, 0x10000002, 0xaf80004c, 0xaf800048,
+0x8fbf0028, 0x8fb10024, 0x8fb00020, 0x3e00008,
+0x27bd0030, 0x27bdffc0, 0x32c20020, 0xafbf0038,
+0xafb30034, 0xafb20030, 0xafb1002c, 0x10400004,
+0xafb00028, 0x8f530028, 0x10000002, 0x0,
+0x8f530020, 0x8f420030, 0x105300ee, 0x21100,
+0x8f43001c, 0x628021, 0x8e040000, 0x8e050004,
+0x96120008, 0x8f420090, 0x9611000a, 0x3246ffff,
+0x46102a, 0x10400015, 0x0, 0x8f8200d8,
+0x8f430098, 0x431023, 0x2442fff8, 0xaf420090,
+0x2842fff9, 0x10400005, 0x0, 0x8f420090,
+0x8f430138, 0x431021, 0xaf420090, 0x8f420090,
+0x46102a, 0x10400005, 0x0, 0x8f420338,
+0x24420001, 0x100000e6, 0xaf420338, 0x8f8200fc,
+0x14400005, 0x32c20008, 0x8f420334, 0x24420001,
+0x100000df, 0xaf420334, 0x5040000c, 0xaf4000ac,
+0x934205b3, 0x10400008, 0x32220200, 0x10400006,
+0x3c034000, 0x9602000e, 0xaf4300ac, 0x21400,
+0x10000002, 0xaf4200b0, 0xaf4000ac, 0x32220004,
+0x1040008e, 0x32220800, 0x10400003, 0x3247ffff,
+0x10000002, 0x24020020, 0x24020004, 0xafa20010,
+0x8f420030, 0xafa20014, 0x8f420010, 0x3c030002,
+0x431025, 0xafa20018, 0x8f460098, 0x8f420108,
+0x40f809, 0x0, 0x104000bd, 0x3243ffff,
+0x8f42009c, 0x8f440094, 0x431021, 0xaf42009c,
+0xae04000c, 0x8f4200ac, 0x10400008, 0x3c034000,
+0x8f420094, 0x431025, 0xafa20020, 0x8f42009c,
+0x8f4300b0, 0x10000004, 0x431025, 0x8f420094,
+0xafa20020, 0x8f42009c, 0xafa20024, 0x8f9000fc,
+0x16000016, 0x26040008, 0x3c040001, 0x24844874,
+0x240200e1, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e7487c, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x26040008, 0x8fa80020,
+0x8fa90024, 0xae080000, 0xae090004, 0xaf8400f0,
+0x8f43009c, 0x8f440260, 0x8f450264, 0x1021,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0x32230060, 0x24020040, 0xaf440260, 0xaf450264,
+0x10620015, 0x2c620041, 0x10400005, 0x24020020,
+0x10620008, 0x24020001, 0x10000021, 0xa34205b3,
+0x24020060, 0x10620015, 0x24020001, 0x1000001c,
+0xa34205b3, 0x8f420268, 0x8f43026c, 0x24630001,
+0x2c640001, 0x441021, 0xaf420268, 0xaf43026c,
+0x10000012, 0x24020001, 0x8f420270, 0x8f430274,
+0x24630001, 0x2c640001, 0x441021, 0xaf420270,
+0xaf430274, 0x10000009, 0x24020001, 0x8f420278,
+0x8f43027c, 0x24630001, 0x2c640001, 0x441021,
+0xaf420278, 0xaf43027c, 0x24020001, 0xa34205b3,
+0x8f420098, 0x3244ffff, 0x2406fff8, 0x8f450130,
+0x441021, 0x24420007, 0x461024, 0x24840007,
+0xaf420094, 0x8f420090, 0x8f430094, 0x862024,
+0x441023, 0x65182b, 0x14600005, 0xaf420090,
+0x8f420094, 0x8f430138, 0x431023, 0xaf420094,
+0x8f420094, 0x10000023, 0xaf40009c, 0x3247ffff,
+0x10e00021, 0x0, 0x14400002, 0x24020010,
+0x24020002, 0xafa20010, 0x8f420030, 0xafa20014,
+0x8f420010, 0xafa20018, 0x8f460098, 0x8f420108,
+0x40f809, 0x0, 0x10400031, 0x3245ffff,
+0x8f420098, 0x8f430090, 0x8f460130, 0x451021,
+0xaf420098, 0x8f42009c, 0x8f440098, 0xa34005b3,
+0x651823, 0xaf430090, 0x451021, 0x86202b,
+0x14800005, 0xaf42009c, 0x8f420098, 0x8f430138,
+0x431023, 0xaf420098, 0x8f420030, 0x8f430040,
+0x24420001, 0x2463ffff, 0x431024, 0x14530018,
+0xaf420030, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x2403fff7,
+0x431024, 0xaf820060, 0x8f420000, 0x10400003,
+0x0, 0x10000002, 0xaf80004c, 0xaf800048,
+0x8fbf0038, 0x8fb30034, 0x8fb20030, 0x8fb1002c,
+0x8fb00028, 0x3e00008, 0x27bd0040, 0x27bdffd0,
+0x32c20020, 0xafbf002c, 0xafb20028, 0xafb10024,
+0x10400004, 0xafb00020, 0x8f520028, 0x10000002,
+0x0, 0x8f520020, 0x8f420030, 0x105200b0,
+0x21100, 0x8f43001c, 0x628021, 0x8e040000,
+0x8e050004, 0x96110008, 0x8f420090, 0x9607000a,
+0x3226ffff, 0x46102a, 0x10400015, 0x0,
+0x8f8200d8, 0x8f430098, 0x431023, 0x2442ff80,
+0xaf420090, 0x2842ff81, 0x10400005, 0x0,
+0x8f420090, 0x8f430138, 0x431021, 0xaf420090,
+0x8f420090, 0x46102a, 0x10400005, 0x0,
+0x8f420338, 0x24420001, 0x100000a8, 0xaf420338,
+0x8f8600fc, 0x10c0000c, 0x0, 0x8f8200f4,
+0x2403fff8, 0x431024, 0x461023, 0x218c3,
+0x50600001, 0x24030100, 0x8f42008c, 0x43102b,
+0x14400005, 0x712c2, 0x8f420334, 0x24420001,
+0x10000096, 0xaf420334, 0x934305b3, 0x1060000f,
+0x30460001, 0x8f420010, 0x34480400, 0x32c20008,
+0x10400008, 0x30e20200, 0x10400006, 0x3c034000,
+0x9602000e, 0xaf4300ac, 0x21400, 0x10000004,
+0xaf4200b0, 0x10000002, 0xaf4000ac, 0x8f480010,
+0x30e20004, 0x10400045, 0x3227ffff, 0x8f4900ac,
+0x11200005, 0x30c200ff, 0x14400006, 0x24020040,
+0x10000004, 0x24020008, 0x14400002, 0x24020020,
+0x24020004, 0xafa20010, 0x8f430030, 0x11200004,
+0xafa30014, 0x8f4200b0, 0x621025, 0xafa20014,
+0x3c020002, 0x1021025, 0xafa20018, 0x8f460098,
+0x8f420108, 0x40f809, 0x0, 0x10400067,
+0x3224ffff, 0x8f42008c, 0x8f430094, 0x24420001,
+0xaf42008c, 0x24020001, 0xae03000c, 0xa34205b3,
+0x8f420098, 0x2406fff8, 0x8f450130, 0x441021,
+0x24420007, 0x461024, 0x24840007, 0xaf420094,
+0x8f420090, 0x8f430094, 0x862024, 0x441023,
+0x65182b, 0x14600005, 0xaf420090, 0x8f420094,
+0x8f430138, 0x431023, 0xaf420094, 0x8f430094,
+0x8f420134, 0x43102b, 0x10400009, 0x0,
+0x8f430130, 0x8f440094, 0x8f420090, 0x8f45012c,
+0x641823, 0x431023, 0xaf420090, 0xaf450094,
+0x8f420094, 0x1000001f, 0xaf420098, 0x10e0001d,
+0x30c200ff, 0x14400002, 0x24020010, 0x24020002,
+0xafa20010, 0x8f420030, 0xafa80018, 0xafa20014,
+0x8f460098, 0x8f420108, 0x40f809, 0x0,
+0x1040002e, 0x3225ffff, 0x8f420098, 0x8f440130,
+0x451021, 0xaf420098, 0x8f420090, 0x8f430098,
+0xa34005b3, 0x451023, 0x64182b, 0x14600005,
+0xaf420090, 0x8f420098, 0x8f430138, 0x431023,
+0xaf420098, 0x8f420030, 0x8f430040, 0x24420001,
+0x2463ffff, 0x431024, 0x14520018, 0xaf420030,
+0x8f420000, 0x10400007, 0x0, 0xaf80004c,
+0x8f82004c, 0x1040fffd, 0x0, 0x10000005,
+0x0, 0xaf800048, 0x8f820048, 0x1040fffd,
+0x0, 0x8f820060, 0x2403fff7, 0x431024,
+0xaf820060, 0x8f420000, 0x10400003, 0x0,
+0x10000002, 0xaf80004c, 0xaf800048, 0x8fbf002c,
+0x8fb20028, 0x8fb10024, 0x8fb00020, 0x3e00008,
+0x27bd0030, 0x27bdffd8, 0xafbf0024, 0xafb00020,
+0x8f4300f0, 0x8f4200ec, 0x8f900108, 0x14620017,
+0x3c020001, 0x3c040001, 0x24844874, 0x24020434,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74884, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x3c020001, 0x8f4300f0, 0x34422ec0,
+0x2e21021, 0x54620004, 0x24620008, 0x3c020001,
+0x34422cc0, 0x2e21021, 0x401821, 0xaf4300f0,
+0xac600000, 0x8f4200ec, 0x8c660004, 0x14620004,
+0x3c020001, 0x26020020, 0x1000000f, 0xaf820108,
+0x8f4300f0, 0x34422ec0, 0x2e21021, 0x54620004,
+0x24620008, 0x3c020001, 0x34422cc0, 0x2e21021,
+0x401821, 0x8c620004, 0x21140, 0x2021021,
+0xaf820108, 0xac600000, 0x8e050018, 0x30a20036,
+0x10400069, 0x30a20001, 0x8e02001c, 0x8f430040,
+0x8f440034, 0x24420001, 0x2463ffff, 0x431024,
+0x862021, 0x30a30030, 0xaf42002c, 0x14600006,
+0xaf440034, 0x8c63023c, 0x801021, 0x43102b,
+0x144000da, 0x0, 0x32c20010, 0x10400027,
+0x24070008, 0x8f440160, 0x8f450164, 0x8f43002c,
+0x8f48000c, 0x8f860120, 0x24020080, 0xafa20010,
+0xafa30014, 0xafa80018, 0x8f42010c, 0x40f809,
+0x24c6001c, 0x14400011, 0x24020001, 0x3c010001,
+0x370821, 0xa02240f1, 0x8f820124, 0xafa20010,
+0x8f820128, 0x3c040001, 0x24844864, 0xafa20014,
+0x8f46002c, 0x8f870120, 0x3c050009, 0xc002bdf,
+0x34a51100, 0x10000034, 0x0, 0x8f44002c,
+0x8f4302f0, 0x24020001, 0xa34205b2, 0x24630001,
+0xaf440038, 0x10000025, 0xaf4302f0, 0x8f440160,
+0x8f450164, 0x8f43002c, 0x8f48000c, 0x8f860120,
+0x24020020, 0xafa20010, 0xafa30014, 0xafa80018,
+0x8f42010c, 0x40f809, 0x24c6001c, 0x14400011,
+0x24020001, 0x3c010001, 0x370821, 0xa02240f0,
+0x8f820124, 0xafa20010, 0x8f820128, 0x3c040001,
+0x24844858, 0xafa20014, 0x8f46002c, 0x8f870120,
+0x3c050009, 0xc002bdf, 0x34a50900, 0x1000000e,
+0x0, 0x8f43002c, 0x8f4202f0, 0xa34005b2,
+0x24420001, 0xaf430038, 0xaf4202f0, 0x3c010001,
+0x370821, 0xa02040f1, 0x3c010001, 0x370821,
+0xa02040f0, 0xaf400034, 0x8f420304, 0x24420001,
+0x10000082, 0xaf420304, 0x10400025, 0x30a27000,
+0x8e05001c, 0x8f420028, 0xa22023, 0x4810003,
+0x0, 0x8f420040, 0x822021, 0x8f420348,
+0x8f430000, 0x441021, 0xaf420348, 0x8f42035c,
+0xaf450028, 0x441021, 0x10600007, 0xaf42035c,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x34420008,
+0xaf820060, 0x8f420000, 0x10400003, 0x0,
+0x1000005e, 0xaf80004c, 0x1000005c, 0xaf800048,
+0x1040002f, 0x30a21000, 0x1040000c, 0x30a24000,
+0x8e03001c, 0x8f420050, 0x622023, 0x4820001,
+0x24840200, 0x8f42034c, 0x441021, 0xaf42034c,
+0x8f420358, 0x1000001a, 0xaf430050, 0x1040000c,
+0x32c28000, 0x8e03001c, 0x8f420070, 0x622023,
+0x4820001, 0x24840400, 0x8f420354, 0x441021,
+0xaf420354, 0x8f420358, 0x1000000d, 0xaf430070,
+0x1040000e, 0x3c020800, 0x8e03001c, 0x8f420060,
+0x622023, 0x4820001, 0x24840100, 0x8f420350,
+0x441021, 0xaf420350, 0x8f420358, 0xaf430060,
+0x441021, 0xaf420358, 0x3c020800, 0x2c21024,
+0x5040002e, 0x36940040, 0x1000002c, 0x0,
+0x30a20100, 0x10400014, 0x30a20080, 0x3c020001,
+0x8c424f64, 0x1040000b, 0x274301b0, 0x24650400,
+0x65102b, 0x10400007, 0x26e40028, 0x8c820000,
+0xac620000, 0x24630004, 0x65102b, 0x1440fffb,
+0x24840004, 0x8f4202cc, 0xa34005b6, 0x24420001,
+0x10000016, 0xaf4202cc, 0x14400014, 0x2402053a,
+0x3c040001, 0x24844874, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74884, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf0024,
+0x8fb00020, 0x3e00008, 0x27bd0028, 0x27bdffa8,
+0xafbf0050, 0xafbe004c, 0xafb50048, 0xafb30044,
+0xafb20040, 0xafb1003c, 0xafb00038, 0x8f920108,
+0x8f820104, 0x16420016, 0x26420020, 0x3c040001,
+0x24844874, 0x24020555, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74884, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x26420020,
+0xaf820108, 0x8e530018, 0xf021, 0x32620024,
+0x10400219, 0xa3a00030, 0x8e50001c, 0x8f42001c,
+0x964c0016, 0x101900, 0x431021, 0x8c51000c,
+0x8f430134, 0xa7ac0032, 0x9455000a, 0x71182b,
+0x10600014, 0x24020591, 0x3c040001, 0x24844874,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74884, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x9623000c, 0x2c6205dd, 0x10400016,
+0x2821, 0x32c20040, 0x10400016, 0x24020800,
+0x96230014, 0x14620013, 0xa03021, 0x9623000e,
+0x3402aaaa, 0x14620007, 0x2624000e, 0x94830002,
+0x24020300, 0x14620004, 0xc01021, 0x94820004,
+0x2c460001, 0xc01021, 0x54400006, 0x24050016,
+0x10000004, 0x0, 0x24020800, 0x50620001,
+0x2405000e, 0x934205b4, 0x14400008, 0x5021,
+0x240a0001, 0x32a20180, 0xaf4500a8, 0xaf5100a0,
+0x10400002, 0xaf5000a4, 0xa34a05b4, 0x10a00086,
+0x2254021, 0x91020000, 0x3021, 0x3042000f,
+0x24880, 0x32c20002, 0x10400012, 0x1091821,
+0x32a20002, 0x10400010, 0x32c20001, 0x1002021,
+0x94820000, 0x24840002, 0xc23021, 0x83102b,
+0x1440fffb, 0x30c2ffff, 0x61c02, 0x623021,
+0x61c02, 0x30c2ffff, 0x623021, 0x61027,
+0xa502000a, 0x32c20001, 0x1040006b, 0x32a20001,
+0x10400069, 0x0, 0x8f4200a8, 0x10400066,
+0x0, 0x8f4200a0, 0x8f4300a8, 0x431021,
+0x904b0009, 0x316700ff, 0x38e30006, 0x3182b,
+0x38e20011, 0x2102b, 0x621824, 0x1060000c,
+0x3c050006, 0x8f4200a4, 0x3c040001, 0x2484488c,
+0xafa20010, 0x8f4200a0, 0x34a54600, 0x2003021,
+0xc002bdf, 0xafa20014, 0x1000004f, 0x0,
+0x32c20004, 0x14400013, 0x2821, 0x314200ff,
+0x14400004, 0x0, 0x95020002, 0x1000000d,
+0x492823, 0x9505000c, 0x9502000e, 0x95030010,
+0xa22821, 0xa32821, 0x95030012, 0x91040009,
+0x95020002, 0xa32821, 0xa42821, 0x491023,
+0xa22821, 0x2202021, 0x94820000, 0x24840002,
+0xc23021, 0x88102b, 0x1440fffb, 0x61c02,
+0x30c2ffff, 0x623021, 0x61c02, 0x30c2ffff,
+0x623021, 0x97a20032, 0x452821, 0x51c02,
+0x30a2ffff, 0x622821, 0x51c02, 0x30a2ffff,
+0x622821, 0xa62823, 0x51402, 0xa22821,
+0x30a5ffff, 0x50a00001, 0x3405ffff, 0x314200ff,
+0x14400008, 0x316300ff, 0x8f4300a0, 0x8f4200a8,
+0x624021, 0x91020000, 0x3042000f, 0x24880,
+0x316300ff, 0x24020006, 0x14620003, 0x1091021,
+0x10000002, 0x24440010, 0x24440006, 0x314200ff,
+0x14400006, 0x0, 0x94820000, 0xa22821,
+0x51c02, 0x30a2ffff, 0x622821, 0x934205b4,
+0x10400003, 0x32a20100, 0x50400003, 0xa4850000,
+0x52827, 0xa4850000, 0x9642000e, 0x8f43009c,
+0x621821, 0xaf43009c, 0x93a20030, 0x10400006,
+0x3c024000, 0x2221025, 0xafa20020, 0x8f42009c,
+0x10000003, 0x5e1025, 0xafb10020, 0x8f42009c,
+0xafa20024, 0x32a20080, 0x10400028, 0x32a20100,
+0x8f4200b4, 0x2c420100, 0x14400016, 0x274400b4,
+0x3c040001, 0x24844874, 0x240206c4, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74884,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x274400b4, 0x8c820000, 0x218c0, 0x24420001,
+0x2e31821, 0x8fad0020, 0x8fae0024, 0x3c010001,
+0x230821, 0xac2d38e8, 0x3c010001, 0x230821,
+0xac2e38ec, 0x100000db, 0xac820000, 0x1040008c,
+0x0, 0x8f4200b4, 0x2c420100, 0x14400016,
+0x274400b4, 0x3c040001, 0x24844874, 0x240206d2,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74884, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x274400b4, 0x8c820000, 0x218c0,
+0x24420001, 0x2e31821, 0x8fad0020, 0x8fae0024,
+0x3c010001, 0x230821, 0xac2d38e8, 0x3c010001,
+0x230821, 0xac2e38ec, 0xac820000, 0x8f4200b4,
+0x10400060, 0x8821, 0x1110c0, 0x2e21021,
+0x3c0d0001, 0x1a26821, 0x8dad38e8, 0x3c0e0001,
+0x1c27021, 0x8dce38ec, 0xafad0028, 0xafae002c,
+0x8f9000fc, 0x16000016, 0x26020008, 0x3c040001,
+0x24844874, 0x240200f4, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e7487c, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x26020008,
+0x8fad0028, 0x8fae002c, 0xae0d0000, 0xae0e0004,
+0xaf8200f0, 0x8f42008c, 0x2442ffff, 0xaf42008c,
+0x97a4002e, 0x801821, 0x8f440260, 0x8f450264,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaf440260, 0xaf450264, 0x8fa30028,
+0x3c02001f, 0x3442ffff, 0x622024, 0x90820000,
+0x30420001, 0x14400009, 0x2402ffff, 0x8f420268,
+0x8f43026c, 0x24630001, 0x2c640001, 0x441021,
+0xaf420268, 0x10000016, 0xaf43026c, 0x8c830000,
+0x1462000c, 0x3402ffff, 0x94830004, 0x14620009,
+0x0, 0x8f420278, 0x8f43027c, 0x24630001,
+0x2c640001, 0x441021, 0xaf420278, 0x10000008,
+0xaf43027c, 0x8f420270, 0x8f430274, 0x24630001,
+0x2c640001, 0x441021, 0xaf420270, 0xaf430274,
+0x8f4200b4, 0x26310001, 0x222102b, 0x1440ffa3,
+0x1110c0, 0xa34005b4, 0x1000004e, 0xaf4000b4,
+0x8f9000fc, 0x16000016, 0x26040008, 0x3c040001,
+0x24844874, 0x240200f4, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e7487c, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x26040008,
+0x8fad0020, 0x8fae0024, 0xae0d0000, 0xae0e0004,
+0xaf8400f0, 0x8f43009c, 0x8f46008c, 0x8f440260,
+0x8f450264, 0x1021, 0x24c6ffff, 0xaf46008c,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xaf440260, 0xaf450264, 0x92220000, 0x30420001,
+0x1440000a, 0x2402ffff, 0x8f420268, 0x8f43026c,
+0x24630001, 0x2c640001, 0x441021, 0xaf420268,
+0xaf43026c, 0x10000018, 0xaf40009c, 0x8e230000,
+0x1462000d, 0x3402ffff, 0x96230004, 0x1462000a,
+0x0, 0x8f420278, 0x8f43027c, 0x24630001,
+0x2c640001, 0x441021, 0xaf420278, 0xaf43027c,
+0x10000009, 0xaf40009c, 0x8f420270, 0x8f430274,
+0x24630001, 0x2c640001, 0x441021, 0xaf420270,
+0xaf430274, 0xaf40009c, 0x8e43001c, 0x8f420040,
+0x24630001, 0x2442ffff, 0x621824, 0xaf43002c,
+0x32630060, 0x14600008, 0x32c20010, 0x8f420034,
+0x24420001, 0xaf420034, 0x8c63023c, 0x43102b,
+0x14400122, 0x32c20010, 0x10400017, 0x24070008,
+0x8f440160, 0x8f450164, 0x8f43002c, 0x8f48000c,
+0x8f860120, 0x24020080, 0xafa20010, 0xafa30014,
+0xafa80018, 0x8f42010c, 0x40f809, 0x24c6001c,
+0x10400040, 0x24020001, 0x8f44002c, 0x8f4302f0,
+0x24020001, 0xa34205b2, 0x24630001, 0xaf440038,
+0x10000074, 0xaf4302f0, 0x8f440160, 0x8f450164,
+0x8f43002c, 0x8f48000c, 0x8f860120, 0x24020020,
+0xafa20010, 0xafa30014, 0xafa80018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x10400050, 0x24020001,
+0x1000005e, 0x0, 0x32620012, 0x1040006c,
+0x32620001, 0x9643000e, 0x8f42009c, 0x431021,
+0xaf42009c, 0x8e43001c, 0x8f420040, 0x24630001,
+0x2442ffff, 0x621824, 0x32620010, 0x14400008,
+0xaf43002c, 0x8f420034, 0x24420001, 0xaf420034,
+0x8fc3023c, 0x43102b, 0x144000e4, 0x0,
+0x32c20010, 0x10400027, 0x24070008, 0x8f440160,
+0x8f450164, 0x8f43002c, 0x8f48000c, 0x8f860120,
+0x24020080, 0xafa20010, 0xafa30014, 0xafa80018,
+0x8f42010c, 0x40f809, 0x24c6001c, 0x14400011,
+0x24020001, 0x3c010001, 0x370821, 0xa02240f1,
+0x8f820124, 0xafa20010, 0x8f820128, 0x3c040001,
+0x24844864, 0xafa20014, 0x8f46002c, 0x8f870120,
+0x3c050009, 0xc002bdf, 0x34a51100, 0x10000034,
+0x0, 0x8f44002c, 0x8f4302f0, 0x24020001,
+0xa34205b2, 0x24630001, 0xaf440038, 0x10000025,
+0xaf4302f0, 0x8f440160, 0x8f450164, 0x8f43002c,
+0x8f48000c, 0x8f860120, 0x24020020, 0xafa20010,
+0xafa30014, 0xafa80018, 0x8f42010c, 0x40f809,
+0x24c6001c, 0x14400011, 0x24020001, 0x3c010001,
+0x370821, 0xa02240f0, 0x8f820124, 0xafa20010,
+0x8f820128, 0x3c040001, 0x24844858, 0xafa20014,
+0x8f46002c, 0x8f870120, 0x3c050009, 0xc002bdf,
+0x34a50900, 0x1000000e, 0x0, 0x8f43002c,
+0x8f4202f0, 0xa34005b2, 0x24420001, 0xaf430038,
+0xaf4202f0, 0x3c010001, 0x370821, 0xa02040f1,
+0x3c010001, 0x370821, 0xa02040f0, 0xaf400034,
+0x8f420304, 0x24420001, 0x1000008c, 0xaf420304,
+0x10400025, 0x32627000, 0x8e45001c, 0x8f420028,
+0xa22023, 0x4810003, 0x0, 0x8f420040,
+0x822021, 0x8f420348, 0x8f430000, 0x441021,
+0xaf420348, 0x8f42035c, 0xaf450028, 0x441021,
+0x10600007, 0xaf42035c, 0xaf80004c, 0x8f82004c,
+0x1040fffd, 0x0, 0x10000005, 0x0,
+0xaf800048, 0x8f820048, 0x1040fffd, 0x0,
+0x8f820060, 0x34420008, 0xaf820060, 0x8f420000,
+0x10400003, 0x0, 0x10000068, 0xaf80004c,
+0x10000066, 0xaf800048, 0x1040002f, 0x32621000,
+0x1040000c, 0x32624000, 0x8e43001c, 0x8f420050,
+0x622023, 0x4820001, 0x24840200, 0x8f42034c,
+0x441021, 0xaf42034c, 0x8f420358, 0x1000001a,
+0xaf430050, 0x1040000c, 0x32c28000, 0x8e43001c,
+0x8f420070, 0x622023, 0x4820001, 0x24840400,
+0x8f420354, 0x441021, 0xaf420354, 0x8f420358,
+0x1000000d, 0xaf430070, 0x1040000e, 0x3c020800,
+0x8e43001c, 0x8f420060, 0x622023, 0x4820001,
+0x24840100, 0x8f420350, 0x441021, 0xaf420350,
+0x8f420358, 0xaf430060, 0x441021, 0xaf420358,
+0x3c020800, 0x2c21024, 0x50400038, 0x36940040,
+0x10000036, 0x0, 0x32620048, 0x10400008,
+0x240d0001, 0x8e42001c, 0x3c03ffff, 0xa3ad0030,
+0x43f024, 0x3042ffff, 0x1000fd1b, 0xae42001c,
+0x32620100, 0x10400014, 0x32620080, 0x3c020001,
+0x8c424f64, 0x1040000b, 0x274301b0, 0x24650400,
+0x65102b, 0x10400007, 0x26e40028, 0x8c820000,
+0xac620000, 0x24630004, 0x65102b, 0x1440fffb,
+0x24840004, 0x8f4202cc, 0xa34005b6, 0x24420001,
+0x10000016, 0xaf4202cc, 0x14400014, 0x240207f9,
+0x3c040001, 0x24844874, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74884, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf0050,
+0x8fbe004c, 0x8fb50048, 0x8fb30044, 0x8fb20040,
+0x8fb1003c, 0x8fb00038, 0x3e00008, 0x27bd0058,
+0x3e00008, 0x0, 0x3e00008, 0x0,
+0x3e00008, 0x0, 0x3e00008, 0x0,
+0x3e00008, 0x0, 0x0, 0x27bdff60,
+0x240a0001, 0xafbf0098, 0xafbe0094, 0xafb50090,
+0xafb3008c, 0xafb20088, 0xafb10084, 0xafb00080,
+0xa3a00070, 0xafa00040, 0xafaa0050, 0x934205b5,
+0xa7a0006e, 0x1040000a, 0xa7a00064, 0x8f4b00c4,
+0xafab0054, 0x8f4a00c0, 0xafaa0058, 0x8f4b00cc,
+0xafab005c, 0x8f4a00c8, 0x10000135, 0xafaa0060,
+0x8f420114, 0x40f809, 0x27a40020, 0x304200ff,
+0x1040036f, 0x0, 0x8fab0024, 0x8faa0020,
+0x3162ffff, 0x2442fffc, 0xafa20058, 0x3c020006,
+0x2c21024, 0xafab0060, 0x14400016, 0xafaa0054,
+0x91420000, 0x30420001, 0x10400012, 0x2402ffff,
+0x8d430000, 0x14620004, 0x3402ffff, 0x95430004,
+0x1062000d, 0x27a40020, 0x8fa40054, 0xc002430,
+0x0, 0x304200ff, 0x14400007, 0x27a40020,
+0x8f420118, 0x40f809, 0x0, 0x10000350,
+0x0, 0x27a40020, 0x8c830004, 0x3c02ffbf,
+0x3442ffff, 0x621824, 0x3c02ffff, 0xac830004,
+0x621824, 0x14600003, 0x3c020080, 0x10000037,
+0x1821, 0x621024, 0x10400006, 0x0,
+0x8f42037c, 0x24420001, 0xaf42037c, 0x1000002f,
+0x24030001, 0x8f420200, 0x24420001, 0xaf420200,
+0x3c020001, 0x621024, 0x10400005, 0x3c020002,
+0x8f4201b4, 0x24420001, 0xaf4201b4, 0x3c020002,
+0x621024, 0x10400005, 0x3c020004, 0x8f42036c,
+0x24420001, 0xaf42036c, 0x3c020004, 0x621024,
+0x10400005, 0x3c020008, 0x8f420370, 0x24420001,
+0xaf420370, 0x3c020008, 0x621024, 0x10400005,
+0x3c020010, 0x8f420374, 0x24420001, 0xaf420374,
+0x3c020010, 0x621024, 0x10400005, 0x3c020020,
+0x8f4201b0, 0x24420001, 0xaf4201b0, 0x3c020020,
+0x621024, 0x10400005, 0x24030001, 0x8f420378,
+0x24420001, 0xaf420378, 0x24030001, 0x1021,
+0x8c420260, 0x8fab0058, 0x4b102b, 0x10400013,
+0x307000ff, 0x8f4201d8, 0x24420001, 0xaf4201d8,
+0x8faa0060, 0x8f8200e0, 0x354a0100, 0xafaa0060,
+0xafa20010, 0x8f8200e4, 0x24100001, 0x3c040001,
+0x24844964, 0xafa20014, 0x8fa60020, 0x8fa70024,
+0x3c050007, 0xc002bdf, 0x34a50800, 0x1200000f,
+0x3c020080, 0x2c21024, 0x1440000d, 0x32c20400,
+0x8fab0060, 0x3c020080, 0x34420100, 0x1621024,
+0x10400004, 0x0, 0x8f4201fc, 0x24420001,
+0xaf4201fc, 0x100002db, 0x8fa30058, 0x32c20400,
+0x10400015, 0x34028100, 0x8faa0054, 0x9543000c,
+0x14620012, 0x3c020100, 0x240b0200, 0xa7ab006e,
+0x9542000e, 0x8d430008, 0x8d440004, 0x8d450000,
+0x8faa0058, 0x8fab0054, 0x254afffc, 0xafaa0058,
+0xa7a20064, 0xad63000c, 0xad640008, 0xad650004,
+0x256b0004, 0xafab0054, 0x3c020100, 0x2c21024,
+0x10400004, 0x0, 0x8faa0058, 0x254a0004,
+0xafaa0058, 0x8f4200bc, 0x5040000a, 0xafa0005c,
+0x8fab0058, 0x4b102b, 0x50400006, 0xafa0005c,
+0x8f4200bc, 0x1621023, 0xafa2005c, 0x8f4a00bc,
+0xafaa0058, 0x8f420080, 0x8fab0058, 0x4b102b,
+0x1040006e, 0x32c28000, 0x10400076, 0x240a0003,
+0x32c21000, 0x10400072, 0xafaa0050, 0x240b0004,
+0x1000006f, 0xafab0050, 0x8f420340, 0x2403ffbf,
+0x283a024, 0x24420001, 0x1000027b, 0xaf420340,
+0x2c2b025, 0x2402ffbf, 0x282a024, 0x8f830128,
+0x3c040001, 0x2484499c, 0x26620001, 0xafa20014,
+0xafa30010, 0x8f860120, 0x8f870124, 0x3c050007,
+0xc002bdf, 0x34a52250, 0x1000026b, 0x0,
+0x2c2b025, 0x2402ffbf, 0x282a024, 0x8f830128,
+0x3c040001, 0x2484499c, 0x24020002, 0xafa20014,
+0xafa30010, 0x8f860120, 0x8f870124, 0x3c050007,
+0xc002bdf, 0x34a52450, 0x1000025b, 0x0,
+0x8faa004c, 0x3c040001, 0x248449b4, 0xafb00010,
+0xafaa0014, 0x8ea60004, 0x8ea70018, 0xc002bdf,
+0x34a52800, 0x3c040001, 0x24844954, 0x10000021,
+0x24020471, 0xa6b1000a, 0x8f820124, 0x8fab004c,
+0x3c040001, 0x248449bc, 0xafab0014, 0xafa20010,
+0x8f460044, 0x8f870120, 0x3c050007, 0xc002bdf,
+0x34a53000, 0x3c040001, 0x24844954, 0x10000011,
+0x240204a5, 0xa6b1000a, 0xa6b2000e, 0x8f820124,
+0x8faa004c, 0x3c040001, 0x248449c8, 0xafaa0014,
+0xafa20010, 0x8f460044, 0x8f870120, 0x3c050007,
+0xc002bdf, 0x34a53200, 0x3c040001, 0x24844954,
+0x240204da, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74994, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0x1000021c, 0xaf820140, 0x8f420084,
+0x8fab0058, 0x4b102b, 0x14400006, 0x3c020001,
+0x2c21024, 0x10400003, 0x240a0002, 0xafaa0050,
+0x8fab0058, 0x1160022f, 0x27aa0038, 0xafaa0074,
+0x27ab0028, 0xafab0078, 0x8faa0050, 0x240b0001,
+0x154b001e, 0x24020002, 0x8f430054, 0x8f420050,
+0x10620009, 0x605021, 0x274b0054, 0x25420001,
+0x304201ff, 0xafa20048, 0xa1140, 0x3403ecc0,
+0x1000001f, 0x431021, 0x8f420044, 0x8faa0058,
+0x3c040001, 0x24844970, 0xafaa0014, 0xafa20010,
+0x8f460054, 0x8f470050, 0x3c050007, 0xc002bdf,
+0x34a51300, 0x8f430340, 0x2402ffbf, 0x282a024,
+0x24630001, 0x100001ec, 0xaf430340, 0x8fab0050,
+0x1562001e, 0x0, 0x8f430074, 0x8f420070,
+0x1062000b, 0x605021, 0x274b0074, 0x25420001,
+0x304203ff, 0xafa20048, 0xa1140, 0x24426cc0,
+0x2e2a821, 0xafaa004c, 0x1000004d, 0xafab0044,
+0x8f420044, 0x8faa0058, 0x3c040001, 0x2484497c,
+0x3c050007, 0xafaa0014, 0xafa20010, 0x8f460074,
+0x8f470070, 0x34a51500, 0x240b0001, 0xc002bdf,
+0xafab0050, 0x1000ffc4, 0x0, 0x8f430064,
+0x8f420060, 0x1062001d, 0x605021, 0x25420001,
+0xafaa004c, 0x8faa0050, 0x274b0064, 0x304200ff,
+0xafa20048, 0x24020004, 0x1542000f, 0xafab0044,
+0x8fab004c, 0xb1180, 0x24420cc0, 0x2e21021,
+0xafa20040, 0x9442002a, 0x8faa0040, 0x8fab0058,
+0x4b102b, 0x10400026, 0x25550020, 0x240a0001,
+0x10000023, 0xa3aa0070, 0x8fab004c, 0xb1140,
+0x24424cc0, 0x1000001e, 0x2e2a821, 0x8f420044,
+0x8faa0058, 0x3c040001, 0x24844988, 0xafaa0014,
+0xafa20010, 0x8f460064, 0x8f470060, 0x3c050007,
+0xc002bdf, 0x34a51800, 0x3c020008, 0x2c21024,
+0x1440ff1d, 0x0, 0x8f420360, 0x240b0001,
+0xafab0050, 0x4b1021, 0x1000ff8f, 0xaf420360,
+0x8faa0074, 0x2663ffff, 0x31840, 0x1431821,
+0x94620000, 0x441021, 0x10000020, 0xa4620000,
+0x8fab0054, 0xaeab0018, 0x93a20070, 0x1040009a,
+0x9821, 0x8faa0040, 0x8fa40058, 0x8fa30074,
+0x25420020, 0xafa20028, 0x25420008, 0xafa20030,
+0x25420010, 0xafaa002c, 0xafa20034, 0x9542002a,
+0xa7a20038, 0x95420018, 0xa7a2003a, 0x9542001a,
+0xa7a2003c, 0x9542001c, 0xa7a2003e, 0x94620000,
+0x24630002, 0x822023, 0x1880ffdd, 0x26730001,
+0x2e620004, 0x1440fff9, 0x0, 0x18800014,
+0x2402040f, 0x3c040001, 0x24844954, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74994,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x8f4200fc, 0x26650001, 0xa2102a, 0x1440003c,
+0x24030001, 0x8f83012c, 0x10600035, 0x0,
+0x8f820124, 0x431023, 0x22143, 0x58800001,
+0x24840040, 0x8f820128, 0x431023, 0x21143,
+0x58400001, 0x24420040, 0x401821, 0x83102a,
+0x54400001, 0x801821, 0x601021, 0xa2102a,
+0x10400023, 0xaf4300fc, 0x10000025, 0x24030001,
+0x8fab0054, 0x96070000, 0xafab0010, 0x8e220000,
+0x3c040001, 0x248449a8, 0x8c420004, 0x34a52400,
+0x2403021, 0xc002bdf, 0xafa20014, 0x3c040001,
+0x24844954, 0x24020433, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74994, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0x1000002c, 0xaf820140,
+0x8f420324, 0x1821, 0x24420001, 0xaf420324,
+0x306200ff, 0x1040fe9e, 0x3c020800, 0x12600023,
+0x9021, 0x3c1e001f, 0x37deffff, 0x8fb00074,
+0x8fb10078, 0x8e220000, 0x96070000, 0x8fab004c,
+0x8fa60054, 0x8c440000, 0x8c450004, 0x240a0001,
+0xafaa0010, 0xafab0014, 0x8f420008, 0xafa20018,
+0x8f42010c, 0x40f809, 0x0, 0x1040ffc4,
+0x3c050007, 0x96020000, 0x8faa0054, 0x1425021,
+0x3ca102b, 0x10400004, 0xafaa0054, 0x8f42013c,
+0x1425023, 0xafaa0054, 0x26100002, 0x26520001,
+0x253102b, 0x1440ffe3, 0x26310004, 0x8fb00058,
+0x10000038, 0x97b10038, 0x8f4200fc, 0x24050002,
+0xa2102a, 0x1440001c, 0x24030001, 0x8f83012c,
+0x10600015, 0x0, 0x8f820124, 0x431023,
+0x22143, 0x58800001, 0x24840040, 0x8f820128,
+0x431023, 0x21143, 0x58400001, 0x24420040,
+0x401821, 0x83102a, 0x54400001, 0x801821,
+0x601021, 0xa2102a, 0x10400003, 0xaf4300fc,
+0x10000005, 0x24030001, 0x8f420324, 0x1821,
+0x24420001, 0xaf420324, 0x306200ff, 0x1040fe64,
+0x3c020800, 0x96b1000a, 0x8fb00058, 0x3223ffff,
+0x70102b, 0x54400001, 0x608021, 0x8ea40000,
+0x8ea50004, 0x8faa004c, 0x8fa60054, 0x240b0001,
+0xafab0010, 0xafaa0014, 0x8f420008, 0xafa20018,
+0x8f42010c, 0x40f809, 0x2003821, 0x1040fe60,
+0x3c050007, 0x96a3000e, 0x97ab006e, 0x11600007,
+0x609021, 0x934205b5, 0x14400004, 0x0,
+0x97aa0064, 0x6b1825, 0xa6aa0016, 0x8fab0060,
+0x3c02ffff, 0x1621024, 0x10400003, 0xb1402,
+0x34630400, 0xa6a20014, 0x8faa0058, 0x560a006d,
+0xa6a3000e, 0x34620004, 0xa6a2000e, 0x8fab005c,
+0x14b1021, 0xa6a2000a, 0x8f430044, 0x8f440190,
+0x8f450194, 0x34028000, 0xafa20010, 0x8f420044,
+0x2a03021, 0x24070020, 0xafa20014, 0x8f42000c,
+0x31940, 0x604821, 0xafa20018, 0x8f42010c,
+0x4021, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x5040fe3e, 0xa6b2000e,
+0x8f420358, 0xafa00058, 0xa34005b5, 0x2442ffff,
+0xaf420358, 0x8faa0050, 0x240b0001, 0x154b0005,
+0x24020002, 0x8f42034c, 0x2442ffff, 0x1000000a,
+0xaf42034c, 0x15420005, 0x0, 0x8f420354,
+0x2442ffff, 0x10000004, 0xaf420354, 0x8f420350,
+0x2442ffff, 0xaf420350, 0x8faa0048, 0x8fab0044,
+0xad6a0000, 0x8f420044, 0x8f440088, 0x8f430078,
+0x24420001, 0x441024, 0x24630001, 0xaf420044,
+0x1021, 0xaf430078, 0x8c420240, 0x62182b,
+0x14600062, 0x24070008, 0x8f440158, 0x8f45015c,
+0x8f430044, 0x8f48000c, 0x8f860120, 0x24020040,
+0xafa20010, 0xafa30014, 0xafa80018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x14400011, 0x240b0001,
+0x3c010001, 0x370821, 0xa02b40f2, 0x8f820124,
+0xafa20010, 0x8f820128, 0x3c040001, 0x2484494c,
+0xafa20014, 0x8f460044, 0x8f870120, 0x3c050009,
+0xc002bdf, 0x34a51300, 0x1000000a, 0x0,
+0x8f4202f4, 0x8f430044, 0x24420001, 0xaf43007c,
+0xaf4202f4, 0x3c010001, 0x370821, 0xa02040f2,
+0xaf400078, 0x8f420308, 0x24420001, 0x10000037,
+0xaf420308, 0xa6b0000a, 0x8f430044, 0x8f440190,
+0x8f450194, 0x34028000, 0xafa20010, 0x8f420044,
+0x2a03021, 0x24070020, 0xafa20014, 0x8f42000c,
+0x31940, 0x604821, 0xafa20018, 0x8f42010c,
+0x4021, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x1040fde6, 0x240a0001,
+0xa34a05b5, 0x8fab0058, 0x8faa0054, 0x3c02001f,
+0x3442ffff, 0x1705823, 0x1505021, 0x4a102b,
+0xafab0058, 0x10400004, 0xafaa0054, 0x8f42013c,
+0x1425023, 0xafaa0054, 0x8f420358, 0x2442ffff,
+0xaf420358, 0x8f42034c, 0x2442ffff, 0xaf42034c,
+0x8faa0048, 0x8fab0044, 0xad6a0000, 0x8f420044,
+0x8f440088, 0x8f430078, 0x24420001, 0x441024,
+0x24630001, 0xaf420044, 0xaf430078, 0x8fab0058,
+0x1560fdf5, 0x0, 0x8faa0058, 0x1140001d,
+0x0, 0x934205b5, 0x10400009, 0x0,
+0x8fab0054, 0xaf4b00c4, 0xaf4a00c0, 0x8faa0060,
+0xaf4a00c8, 0x8fab005c, 0x1000000e, 0xaf4b00cc,
+0x97aa006e, 0x1140000b, 0x34038100, 0x8fa20020,
+0x8c46000c, 0xa443000c, 0x97ab0064, 0x8c440004,
+0x8c450008, 0xa44b000e, 0xac440000, 0xac450004,
+0xac460008, 0x8f42033c, 0x24420001, 0x10000010,
+0xaf42033c, 0x8faa0060, 0x3144ffff, 0x2484fffc,
+0x801821, 0x8f440240, 0x8f450244, 0x8f460118,
+0x1021, 0xa32821, 0xa3382b, 0x822021,
+0x872021, 0xaf440240, 0xc0f809, 0xaf450244,
+0x8fbf0098, 0x8fbe0094, 0x8fb50090, 0x8fb3008c,
+0x8fb20088, 0x8fb10084, 0x8fb00080, 0x3e00008,
+0x27bd00a0, 0x27bdff60, 0x240b0001, 0xafbf0098,
+0xafbe0094, 0xafb50090, 0xafb3008c, 0xafb20088,
+0xafb10084, 0xafb00080, 0xa3a00062, 0xafa00040,
+0xafab004c, 0x934205b5, 0xa7a0005e, 0x10400007,
+0xa7a00060, 0x8f4c00c0, 0xafac0050, 0x8f4b00c8,
+0x8f5500c4, 0x1000011e, 0xafab0054, 0x8f420114,
+0x40f809, 0x27a40020, 0x304200ff, 0x104002b4,
+0x0, 0x8fac0024, 0x8fb50020, 0x3182ffff,
+0x2442fffc, 0xafa20050, 0x3c020006, 0x2c21024,
+0x14400015, 0xafac0054, 0x92a20000, 0x30420001,
+0x10400011, 0x2402ffff, 0x8ea30000, 0x14620004,
+0x3402ffff, 0x96a30004, 0x1062000c, 0x27a40020,
+0xc002430, 0x2a02021, 0x304200ff, 0x14400007,
+0x27a40020, 0x8f420118, 0x40f809, 0x0,
+0x10000297, 0x0, 0x27a40020, 0x8c830004,
+0x3c02ffbf, 0x3442ffff, 0x621824, 0x3c02ffff,
+0xac830004, 0x621824, 0x14600003, 0x3c020080,
+0x10000037, 0x8021, 0x621024, 0x10400006,
+0x0, 0x8f42037c, 0x24420001, 0xaf42037c,
+0x1000002f, 0x24100001, 0x8f420200, 0x24420001,
+0xaf420200, 0x3c020001, 0x621024, 0x10400005,
+0x3c020002, 0x8f4201b4, 0x24420001, 0xaf4201b4,
+0x3c020002, 0x621024, 0x10400005, 0x3c020004,
+0x8f42036c, 0x24420001, 0xaf42036c, 0x3c020004,
+0x621024, 0x10400005, 0x3c020008, 0x8f420370,
+0x24420001, 0xaf420370, 0x3c020008, 0x621024,
+0x10400005, 0x3c020010, 0x8f420374, 0x24420001,
+0xaf420374, 0x3c020010, 0x621024, 0x10400005,
+0x3c020020, 0x8f4201b0, 0x24420001, 0xaf4201b0,
+0x3c020020, 0x621024, 0x10400005, 0x24100001,
+0x8f420378, 0x24420001, 0xaf420378, 0x24100001,
+0x1021, 0x8c420260, 0x8fab0050, 0x4b102b,
+0x10400014, 0x320200ff, 0x8f4201d8, 0x24420001,
+0xaf4201d8, 0x8fac0054, 0x8f8200e0, 0x358c0100,
+0xafac0054, 0xafa20010, 0x8f8200e4, 0x24100001,
+0x3c040001, 0x24844964, 0xafa20014, 0x8fa60020,
+0x8fa70024, 0x3c050007, 0xc002bdf, 0x34a53600,
+0x320200ff, 0x1040000f, 0x3c020080, 0x2c21024,
+0x1440000d, 0x32c20400, 0x8fab0054, 0x3c020080,
+0x34420100, 0x1621024, 0x10400004, 0x0,
+0x8f4201fc, 0x24420001, 0xaf4201fc, 0x10000221,
+0x8fa30050, 0x32c20400, 0x10400012, 0x34028100,
+0x96a3000c, 0x1462000f, 0x0, 0x240c0200,
+0xa7ac005e, 0x96a2000e, 0x8ea30008, 0x8ea40004,
+0x8fab0050, 0x8ea50000, 0x256bfffc, 0xafab0050,
+0xa7a20060, 0xaea3000c, 0xaea40008, 0xaea50004,
+0x26b50004, 0x8fa70050, 0x320200ff, 0x14400032,
+0x3c020100, 0x96a3000c, 0x2c6205dd, 0x10400016,
+0x3021, 0x32c20800, 0x10400016, 0x24020800,
+0x96a30014, 0x14620013, 0xc02821, 0x96a3000e,
+0x3402aaaa, 0x14620007, 0x26a4000e, 0x94830002,
+0x24020300, 0x14620004, 0xa01021, 0x94820004,
+0x2c450001, 0xa01021, 0x54400006, 0x24060016,
+0x10000004, 0x0, 0x24020800, 0x50620001,
+0x2406000e, 0x10c00013, 0x2a62021, 0x24830009,
+0x3c02001f, 0x3442ffff, 0x43102b, 0x10400003,
+0x0, 0x8f42013c, 0x621823, 0x90620000,
+0x38430006, 0x2c630001, 0x38420011, 0x2c420001,
+0x621825, 0x10600004, 0x3c020100, 0x94820002,
+0x463821, 0x3c020100, 0x2c21024, 0x5040000e,
+0xafa70050, 0x8fac0050, 0x10ec0008, 0x3c050007,
+0x3c040001, 0x248449d4, 0x34a54000, 0x1803021,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x8fab0050,
+0x256b0004, 0xafab0050, 0x8f420080, 0x8fac0050,
+0x4c102b, 0x10400029, 0x32c28000, 0x10400030,
+0x240b0003, 0x32c21000, 0x1040002d, 0xafab004c,
+0x1000002a, 0x240c0004, 0x8f420340, 0x2403ffbf,
+0x283a024, 0x24420001, 0x10000196, 0xaf420340,
+0x3c020800, 0x2c2b025, 0x2402ffbf, 0x282a024,
+0x8f830128, 0x3c040001, 0x2484499c, 0x26620001,
+0xafa20014, 0xafa30010, 0x8f860120, 0x8f870124,
+0x3c050007, 0xc002bdf, 0x34a55300, 0x10000185,
+0x0, 0x3c040001, 0x248449b4, 0xafb10014,
+0x8fc60004, 0x8fc70018, 0x3c050007, 0xc002bdf,
+0x34a55900, 0x1000017b, 0x0, 0x8f420084,
+0x8fab0050, 0x4b102b, 0x14400006, 0x3c020001,
+0x2c21024, 0x10400003, 0x240c0002, 0xafac004c,
+0x8fab0050, 0x1160018b, 0x27ac0038, 0x27ab0028,
+0xafac0064, 0xafab0068, 0x8fac004c, 0x240b0001,
+0x158b001e, 0x240b0002, 0x8f430054, 0x8f420050,
+0x10620009, 0x608821, 0x274c0054, 0x26220001,
+0x304201ff, 0xafa20048, 0x111140, 0x3403ecc0,
+0x1000001e, 0x431021, 0x8f420044, 0x8fab0050,
+0x3c040001, 0x24844970, 0xafab0014, 0xafa20010,
+0x8f460054, 0x8f470050, 0x3c050007, 0xc002bdf,
+0x34a54300, 0x8f430340, 0x2402ffbf, 0x282a024,
+0x24630001, 0x1000014b, 0xaf430340, 0x158b001d,
+0x0, 0x8f430074, 0x8f420070, 0x1062000a,
+0x608821, 0x274c0074, 0x26220001, 0x304203ff,
+0xafa20048, 0x111140, 0x24426cc0, 0x2e2f021,
+0x1000004a, 0xafac0044, 0x8f420044, 0x8fab0050,
+0x3c040001, 0x2484497c, 0x3c050007, 0xafab0014,
+0xafa20010, 0x8f460074, 0x8f470070, 0x34a54500,
+0x240c0001, 0xc002bdf, 0xafac004c, 0x1000ffc6,
+0x0, 0x8f430064, 0x8f420060, 0x1062001a,
+0x608821, 0x274b0064, 0x8fac004c, 0x26220001,
+0x304200ff, 0xafa20048, 0x24020004, 0x1582000e,
+0xafab0044, 0x111180, 0x24420cc0, 0x2e21021,
+0xafa20040, 0x9442002a, 0x8fab0040, 0x8fac0050,
+0x4c102b, 0x10400025, 0x257e0020, 0x240b0001,
+0x10000022, 0xa3ab0062, 0x111140, 0x24424cc0,
+0x1000001e, 0x2e2f021, 0x8f420044, 0x8fac0050,
+0x3c040001, 0x24844988, 0xafac0014, 0xafa20010,
+0x8f460064, 0x8f470060, 0x3c050007, 0xc002bdf,
+0x34a54800, 0x3c020008, 0x2c21024, 0x1440ff66,
+0x0, 0x8f420360, 0x240b0001, 0xafab004c,
+0x4b1021, 0x1000ff94, 0xaf420360, 0x8fac0064,
+0x2663ffff, 0x31840, 0x1831821, 0x94620000,
+0x441021, 0x1000001f, 0xa4620000, 0xafd50018,
+0x93a20062, 0x104000ab, 0x9821, 0x8fab0040,
+0x8fa40050, 0x8fa30064, 0x25620020, 0xafa20028,
+0x25620008, 0xafa20030, 0x25620010, 0xafab002c,
+0xafa20034, 0x9562002a, 0xa7a20038, 0x95620018,
+0xa7a2003a, 0x9562001a, 0xa7a2003c, 0x9562001c,
+0xa7a2003e, 0x94620000, 0x24630002, 0x822023,
+0x1880ffde, 0x26730001, 0x2e620004, 0x1440fff9,
+0x0, 0x18800014, 0x24020681, 0x3c040001,
+0x24844954, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74994, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8f4200fc, 0x262102a,
+0x14400042, 0x24030001, 0x8f83012c, 0x1060003b,
+0x0, 0x8f820124, 0x431023, 0x22143,
+0x58800001, 0x24840040, 0x8f820128, 0x431023,
+0x21143, 0x58400001, 0x24420040, 0x401821,
+0x83102a, 0x54400001, 0x801821, 0x601021,
+0x262102a, 0x10400029, 0xaf4300fc, 0x1000002b,
+0x24030001, 0x8fac0064, 0x101040, 0x8fab0068,
+0x1821021, 0x94470000, 0x101080, 0x1621021,
+0xafb50010, 0x8c420000, 0x3c040001, 0x248449a8,
+0x3c050007, 0x8c420004, 0x34a55500, 0x2003021,
+0xc002bdf, 0xafa20014, 0x3c040001, 0x24844954,
+0x240206b3, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74994, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0x10000038, 0xaf820140, 0x8f420324,
+0x1821, 0x24420001, 0xaf420324, 0x306200ff,
+0x1040fee3, 0x8021, 0x8f430008, 0x2402fbff,
+0x1260002d, 0x625024, 0x2669ffff, 0x3c0c4000,
+0x22c4025, 0x8fb10064, 0x8fb20068, 0x8e420000,
+0x96270000, 0x8c440000, 0x8c450004, 0x16090004,
+0x240c0001, 0x240b0002, 0x10000002, 0xafab0010,
+0xafac0010, 0x16000004, 0xafa80014, 0x8f420008,
+0x10000002, 0xafa20018, 0xafaa0018, 0x8f42010c,
+0x2a03021, 0xafa80070, 0xafa90074, 0x40f809,
+0xafaa0078, 0x8fa80070, 0x8fa90074, 0x8faa0078,
+0x1040ffb0, 0x3c02001f, 0x96230000, 0x3442ffff,
+0x2a3a821, 0x55102b, 0x10400003, 0x26310002,
+0x8f42013c, 0x2a2a823, 0x26100001, 0x213102b,
+0x1440ffda, 0x26520004, 0x8fb00050, 0x1000001a,
+0x0, 0x97c3000a, 0x8fb00050, 0x70102b,
+0x54400001, 0x608021, 0x8fc40000, 0x8fc50004,
+0x8fac004c, 0x240b0002, 0xafab0010, 0x934305b5,
+0xc1700, 0x10600003, 0x2223025, 0x3c020800,
+0xc23025, 0xafa60014, 0x8f420008, 0xafa20018,
+0x8f42010c, 0x2a03021, 0x40f809, 0x2003821,
+0x5040fea8, 0xafb00010, 0x97ab005e, 0x11600007,
+0x97c3000e, 0x934205b5, 0x14400004, 0x0,
+0x97ac0060, 0x6b1825, 0xa7cc0016, 0x8fab0054,
+0x3c02ffff, 0x1621024, 0x10400003, 0xb1402,
+0x34630400, 0xa7c20014, 0xa7d0000a, 0x8fac0050,
+0x560c0006, 0x2b0a821, 0x34620004, 0xafa00050,
+0xa7c2000e, 0x1000000d, 0xa34005b5, 0x8fab0050,
+0x3c02001f, 0x3442ffff, 0x55102b, 0x1705823,
+0xafab0050, 0xa7c3000e, 0x240c0001, 0x10400003,
+0xa34c05b5, 0x8f42013c, 0x2a2a823, 0x8fac0048,
+0x8fab0044, 0xad6c0000, 0x8fab0050, 0x1560fe96,
+0x0, 0x8fac0050, 0x1180001a, 0x0,
+0x934205b5, 0x10400006, 0x0, 0xaf5500c4,
+0xaf4c00c0, 0x8fab0054, 0x1000000e, 0xaf4b00c8,
+0x97ac005e, 0x1180000b, 0x34038100, 0x8fa20020,
+0x8c46000c, 0xa443000c, 0x97ab0060, 0x8c440004,
+0x8c450008, 0xa44b000e, 0xac440000, 0xac450004,
+0xac460008, 0x8f42033c, 0x24420001, 0x10000010,
+0xaf42033c, 0x8fac0054, 0x3184ffff, 0x2484fffc,
+0x801821, 0x8f440240, 0x8f450244, 0x8f460118,
+0x1021, 0xa32821, 0xa3382b, 0x822021,
+0x872021, 0xaf440240, 0xc0f809, 0xaf450244,
+0x8fbf0098, 0x8fbe0094, 0x8fb50090, 0x8fb3008c,
+0x8fb20088, 0x8fb10084, 0x8fb00080, 0x3e00008,
+0x27bd00a0, 0x27bdffd0, 0xafbf0028, 0xafb10024,
+0xafb00020, 0x8f43004c, 0x8f420048, 0x10620047,
+0x401821, 0x8f42004c, 0x628823, 0x6220001,
+0x26310200, 0x8f430054, 0x8f42004c, 0x43102b,
+0x14400004, 0x24020200, 0x8f43004c, 0x10000005,
+0x438023, 0x8f420054, 0x8f43004c, 0x431023,
+0x2450ffff, 0x16000016, 0x2205821, 0x3c040001,
+0x24844954, 0x24020740, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74994, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x2205821,
+0x20b102a, 0x54400001, 0x2005821, 0x8f4a004c,
+0x8f440178, 0x8f45017c, 0xb3940, 0x24081000,
+0x1404821, 0x1203021, 0x94940, 0x1201821,
+0x1021, 0x14b5021, 0x315001ff, 0xafa80010,
+0xafb00014, 0x8f480014, 0xa32821, 0xa3482b,
+0x822021, 0x892021, 0x63140, 0x3403ecc0,
+0xafa80018, 0x8f420108, 0xc33021, 0x40f809,
+0x2e63021, 0x54400001, 0xaf50004c, 0x8f43004c,
+0x8f420048, 0x14620018, 0x0, 0x8f420000,
+0x10400007, 0x0, 0xaf80004c, 0x8f82004c,
+0x1040fffd, 0x0, 0x10000005, 0x0,
+0xaf800048, 0x8f820048, 0x1040fffd, 0x0,
+0x8f820060, 0x2403fdff, 0x431024, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x10000002,
+0xaf80004c, 0xaf800048, 0x8fbf0028, 0x8fb10024,
+0x8fb00020, 0x3e00008, 0x27bd0030, 0x27bdffd0,
+0xafbf0028, 0xafb10024, 0xafb00020, 0x8f43005c,
+0x8f420058, 0x1062005c, 0x401821, 0x8f42005c,
+0x628823, 0x6220001, 0x26310100, 0x8f430064,
+0x8f42005c, 0x43102b, 0x14400004, 0x24020100,
+0x8f43005c, 0x10000005, 0x438023, 0x8f420064,
+0x8f43005c, 0x431023, 0x2450ffff, 0x16000016,
+0x2203821, 0x3c040001, 0x24844954, 0x24020798,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74994, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x2203821, 0x207102a, 0x54400001,
+0x2003821, 0x8f42005c, 0x471021, 0x305000ff,
+0x32c21000, 0x10400015, 0x24082000, 0x8f49005c,
+0x8f440180, 0x8f450184, 0x73980, 0x1203021,
+0x94980, 0x1201821, 0x1021, 0xafa80010,
+0xafb00014, 0x8f480014, 0xa32821, 0xa3482b,
+0x822021, 0x892021, 0x63180, 0xafa80018,
+0x8f420108, 0x10000014, 0x24c60cc0, 0x8f49005c,
+0x8f440180, 0x8f450184, 0x73940, 0x1203021,
+0x94940, 0x1201821, 0x1021, 0xafa80010,
+0xafb00014, 0x8f480014, 0xa32821, 0xa3482b,
+0x822021, 0x892021, 0x63140, 0xafa80018,
+0x8f420108, 0x24c64cc0, 0x40f809, 0x2e63021,
+0x54400001, 0xaf50005c, 0x8f43005c, 0x8f420058,
+0x14620018, 0x0, 0x8f420000, 0x10400007,
+0x0, 0xaf80004c, 0x8f82004c, 0x1040fffd,
+0x0, 0x10000005, 0x0, 0xaf800048,
+0x8f820048, 0x1040fffd, 0x0, 0x8f820060,
+0x2403feff, 0x431024, 0xaf820060, 0x8f420000,
+0x10400003, 0x0, 0x10000002, 0xaf80004c,
+0xaf800048, 0x8fbf0028, 0x8fb10024, 0x8fb00020,
+0x3e00008, 0x27bd0030, 0x27bdffd0, 0xafbf0028,
+0xafb10024, 0xafb00020, 0x8f43006c, 0x8f420068,
+0x10620046, 0x401821, 0x8f42006c, 0x628823,
+0x6220001, 0x26310400, 0x8f430074, 0x8f42006c,
+0x43102b, 0x14400004, 0x24020400, 0x8f43006c,
+0x10000005, 0x438023, 0x8f420074, 0x8f43006c,
+0x431023, 0x2450ffff, 0x16000016, 0x2205821,
+0x3c040001, 0x24844954, 0x24020800, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74994,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x2205821, 0x20b102a, 0x54400001, 0x2005821,
+0x8f4a006c, 0x8f440188, 0x8f45018c, 0xb3940,
+0x24084000, 0x1404821, 0x1203021, 0x94940,
+0x1201821, 0x1021, 0x14b5021, 0x315003ff,
+0xafa80010, 0xafb00014, 0x8f480014, 0xa32821,
+0xa3482b, 0x822021, 0x892021, 0x63140,
+0xafa80018, 0x8f420108, 0x24c66cc0, 0x40f809,
+0x2e63021, 0x54400001, 0xaf50006c, 0x8f43006c,
+0x8f420068, 0x14620018, 0x0, 0x8f420000,
+0x10400007, 0x0, 0xaf80004c, 0x8f82004c,
+0x1040fffd, 0x0, 0x10000005, 0x0,
+0xaf800048, 0x8f820048, 0x1040fffd, 0x0,
+0x8f820060, 0x2403f7ff, 0x431024, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x10000002,
+0xaf80004c, 0xaf800048, 0x8fbf0028, 0x8fb10024,
+0x8fb00020, 0x3e00008, 0x27bd0030, 0x27bdffe0,
+0xafbf001c, 0xafb00018, 0x8f4200fc, 0x8f4400f8,
+0x8f4300f4, 0x24420001, 0xaf4200fc, 0x8f900128,
+0x14830016, 0x3c020001, 0x3c040001, 0x24844954,
+0x24020868, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74994, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x3c020001, 0x8f4300f8,
+0x344230c8, 0x2e21021, 0x54620004, 0x24620008,
+0x3c020001, 0x34422ec8, 0x2e21021, 0x401821,
+0xaf4300f8, 0xac600000, 0x8f4200f4, 0x14620004,
+0x3c020001, 0x26020020, 0x1000000f, 0xaf820128,
+0x8f4300f8, 0x344230c8, 0x2e21021, 0x54620004,
+0x24620008, 0x3c020001, 0x34422ec8, 0x2e21021,
+0x401821, 0x8c620004, 0x21140, 0x2021021,
+0xaf820128, 0xac600000, 0x8e030018, 0x30620070,
+0x1040002c, 0x30620020, 0x10400004, 0x3c020010,
+0x2c21024, 0x1040000d, 0x0, 0x30620040,
+0x10400004, 0x3c020020, 0x2c21024, 0x10400007,
+0x0, 0x30620010, 0x10400034, 0x3c020040,
+0x2c21024, 0x14400031, 0x0, 0x8f820040,
+0x30420001, 0x14400008, 0x2021, 0x8c830104,
+0x24020001, 0x50620005, 0x24040001, 0x8c820264,
+0x10400003, 0x801021, 0x24040001, 0x801021,
+0x10400005, 0x0, 0x8f4202fc, 0x24420001,
+0x1000001e, 0xaf4202fc, 0x8f820044, 0x34420004,
+0xaf820044, 0x8f4202f8, 0x24420001, 0x10000017,
+0xaf4202f8, 0x3062b08f, 0x14400014, 0x24020899,
+0x3c040001, 0x24844954, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74994, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf001c,
+0x8fb00018, 0x3e00008, 0x27bd0020, 0x27bdffa8,
+0xafbf0050, 0xafbe004c, 0xafb50048, 0xafb30044,
+0xafb20040, 0xafb1003c, 0xafb00038, 0x8f4200fc,
+0x24420001, 0xaf4200fc, 0x8f880128, 0x25020020,
+0xaf820128, 0x8d030018, 0x30620070, 0x1040002c,
+0x30620020, 0x10400004, 0x3c020010, 0x2c21024,
+0x1040000d, 0x0, 0x30620040, 0x10400004,
+0x3c020020, 0x2c21024, 0x10400007, 0x0,
+0x30620010, 0x104001a1, 0x3c020040, 0x2c21024,
+0x1440019e, 0x0, 0x8f820040, 0x30420001,
+0x14400008, 0x2021, 0x8c830104, 0x24020001,
+0x50620005, 0x24040001, 0x8c820264, 0x10400003,
+0x801021, 0x24040001, 0x801021, 0x10400005,
+0x0, 0x8f4202fc, 0x24420001, 0x1000018b,
+0xaf4202fc, 0x8f820044, 0x34420004, 0xaf820044,
+0x8f4202f8, 0x24420001, 0x10000184, 0xaf4202f8,
+0x30620002, 0x10400147, 0x3c020800, 0x8d0a001c,
+0x1422024, 0xafaa0020, 0xa5702, 0xafaa0028,
+0x8faa0020, 0x314affff, 0xafaa0020, 0x950a0016,
+0xafaa0024, 0x8faa0028, 0x24020001, 0x15420007,
+0x24020002, 0x8faa0020, 0xa1140, 0x3403ecc0,
+0x431021, 0x10000014, 0x2e2a821, 0x15420006,
+0x24020003, 0x8faa0020, 0xa1140, 0x24426cc0,
+0x1000000d, 0x2e2a821, 0x8faa0028, 0x15420006,
+0x0, 0x8faa0020, 0xa1140, 0x24424cc0,
+0x10000005, 0x2e2a821, 0x8faa0020, 0xa1180,
+0x571021, 0x24550ce0, 0x96a2000e, 0x305efffc,
+0x30420400, 0x144000c7, 0x8821, 0x10800004,
+0x24031000, 0x97b10026, 0x100000c4, 0x33c20004,
+0x8eb30018, 0x9663000c, 0x8821, 0x2c6205dd,
+0x10400016, 0x2202021, 0x32c20800, 0x10400016,
+0x24020800, 0x96630014, 0x14620013, 0x2203021,
+0x9663000e, 0x3402aaaa, 0x14620007, 0x2665000e,
+0x94a30002, 0x24020300, 0x14620004, 0xc01021,
+0x94a20004, 0x2c460001, 0xc01021, 0x54400006,
+0x24040016, 0x10000004, 0x0, 0x24020800,
+0x50620001, 0x2404000e, 0x108000a2, 0x2649021,
+0x92420000, 0x3042000f, 0x28080, 0x32c20100,
+0x1040001e, 0x2501821, 0x3c020020, 0x43102b,
+0x1440000e, 0x2402021, 0x2821, 0x94820000,
+0x24840002, 0xa22821, 0x83102b, 0x1440fffb,
+0x30a2ffff, 0x51c02, 0x622821, 0x51c02,
+0x30a2ffff, 0x10000009, 0x622821, 0x8f47013c,
+0x8f420110, 0x102842, 0x3c060020, 0x40f809,
+0xafa80030, 0x3045ffff, 0x8fa80030, 0x50a00001,
+0x3405ffff, 0x10000002, 0x37de0002, 0x2821,
+0x32c20080, 0x1040007b, 0xa6a50010, 0x26430009,
+0x3c02001f, 0x3442ffff, 0x43102b, 0x10400003,
+0x0, 0x8f42013c, 0x621823, 0x90660000,
+0x30c200ff, 0x38430006, 0x2c630001, 0x38420011,
+0x2c420001, 0x621825, 0x1060006b, 0x24031000,
+0x8821, 0x2602021, 0x94820000, 0x24840002,
+0x2228821, 0x92102b, 0x1440fffb, 0x111c02,
+0x3222ffff, 0x628821, 0x111c02, 0x3222ffff,
+0x628821, 0x32c20200, 0x10400003, 0x26440006,
+0x1000003e, 0x8021, 0x3c05001f, 0x34a5ffff,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x30421fff, 0x10400004,
+0x2644000c, 0x96420002, 0x10000030, 0x508023,
+0x96420002, 0x26430014, 0x508023, 0x3c020020,
+0x43102b, 0x1440000a, 0xd08021, 0x9642000c,
+0x2028021, 0x9642000e, 0x96430010, 0x96440012,
+0x2028021, 0x2038021, 0x10000020, 0x2048021,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x24840002, 0x2028021,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x24840002, 0x2028021,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x24840002, 0x2028021,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x2028021, 0x3c020100,
+0x2c21024, 0x1040000c, 0x33c20004, 0x1040000a,
+0x0, 0x9504000e, 0x2642021, 0xc004050,
+0x2484fffc, 0x3042ffff, 0x2228821, 0x111c02,
+0x3222ffff, 0x628821, 0x8faa0024, 0x1518823,
+0x111402, 0x2228821, 0x2308821, 0x111402,
+0x2228821, 0x3231ffff, 0x52200001, 0x3411ffff,
+0x37de0001, 0x24031000, 0x33c20004, 0xa6b10012,
+0x10400002, 0xa6be000e, 0x34038000, 0x8f420044,
+0x8f440190, 0x8f450194, 0xafa30010, 0x8f430044,
+0x21140, 0x404821, 0xafa30014, 0x8f42000c,
+0x2a03021, 0x24070020, 0xafa20018, 0x8f42010c,
+0x4021, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x14400020, 0x0,
+0x8f820128, 0x8faa0020, 0x3c040001, 0x248449e0,
+0xafaa0014, 0xafa20010, 0x8f860124, 0x8f870120,
+0x3c050007, 0xc002bdf, 0x34a59920, 0x3c040001,
+0x24844954, 0x24020a9d, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74994, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8f420044,
+0x8f440088, 0x8f430358, 0x24420001, 0x441024,
+0x2463ffff, 0xaf420044, 0xaf430358, 0x8faa0028,
+0x24020001, 0x15420005, 0x24020002, 0x8f42034c,
+0x2442ffff, 0x10000045, 0xaf42034c, 0x15420005,
+0x0, 0x8f420354, 0x2442ffff, 0x1000003f,
+0xaf420354, 0x8f420350, 0x2442ffff, 0x1000003b,
+0xaf420350, 0x30641000, 0x10800005, 0x30628000,
+0x8f420078, 0x24420001, 0x10000034, 0xaf420078,
+0x10400032, 0x0, 0x8f420078, 0x24420001,
+0xaf420078, 0x8c830240, 0x43102b, 0x1440002b,
+0x24070008, 0x8f440158, 0x8f45015c, 0x8f430044,
+0x8f48000c, 0x8f860120, 0x24020040, 0xafa20010,
+0xafa30014, 0xafa80018, 0x8f42010c, 0x40f809,
+0x24c6001c, 0x14400011, 0x24020001, 0x3c010001,
+0x370821, 0xa02240f2, 0x8f820124, 0xafa20010,
+0x8f820128, 0x3c040001, 0x2484494c, 0xafa20014,
+0x8f460044, 0x8f870120, 0x3c050009, 0xc002bdf,
+0x34a51300, 0x1000000a, 0x0, 0x8f4202f4,
+0x8f430044, 0x24420001, 0xaf43007c, 0xaf4202f4,
+0x3c010001, 0x370821, 0xa02040f2, 0xaf400078,
+0x8f420308, 0x24420001, 0xaf420308, 0x8fbf0050,
+0x8fbe004c, 0x8fb50048, 0x8fb30044, 0x8fb20040,
+0x8fb1003c, 0x8fb00038, 0x3e00008, 0x27bd0058,
+0x8f8300e4, 0x27623ff8, 0x14620002, 0x24620008,
+0x27623000, 0x401821, 0xaf8300e8, 0x3e00008,
+0xaf8300e4, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x8f8400e0,
+0x8f8800c4, 0x8f8300e8, 0x2402fff8, 0x823824,
+0xe32023, 0x2c821000, 0x50400001, 0x24841000,
+0x420c2, 0x801821, 0x8f440248, 0x8f45024c,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaf440248, 0xaf45024c, 0x8f8300c8,
+0x8f42013c, 0x1032023, 0x82102b, 0x14400004,
+0x801821, 0x8f42013c, 0x822021, 0x801821,
+0x8f440240, 0x8f450244, 0x1021, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0xaf440240,
+0xaf450244, 0xaf8800c8, 0xaf8700e4, 0x3e00008,
+0xaf8700e8, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x8f8500e4,
+0x8f8200e0, 0x2403fff8, 0x431024, 0x10a20006,
+0x24020001, 0x8ca60000, 0x8ca70004, 0xac860000,
+0x3e00008, 0xac870004, 0x3e00008, 0x1021,
+0x3e00008, 0x0, 0x0, 0x27bdffd8,
+0xafbf0020, 0xafb1001c, 0xafb00018, 0x8f420394,
+0x24420001, 0xaf420394, 0x8f900220, 0x8f4303a8,
+0x3c020001, 0x8c425038, 0x3c040001, 0x24844ac8,
+0x3c050008, 0xafa20014, 0xafa30010, 0x8f4703ac,
+0x34a50400, 0xc002bdf, 0x2003021, 0x3c024000,
+0x2021024, 0x104000d9, 0x3c040100, 0x8f4203ac,
+0x24420001, 0xaf4203ac, 0x8f820220, 0x3c0308ff,
+0x3463ffff, 0x431024, 0x34420004, 0xaf820220,
+0x8f8200e0, 0x8f8300c4, 0x3c02001f, 0x3442ffff,
+0x24680008, 0x48102b, 0x10400003, 0x0,
+0x8f42013c, 0x1024023, 0x8f8700c8, 0x8f840120,
+0x8f830124, 0x10000005, 0x5821, 0x8f42012c,
+0x62102b, 0x50400001, 0x27634800, 0x1083000c,
+0x316200ff, 0x8c620018, 0x2442ffff, 0x2c420002,
+0x5040fff6, 0x24630020, 0x8f4203c0, 0x240b0001,
+0x24420001, 0xaf4203c0, 0x8c670008, 0x316200ff,
+0x14400072, 0x0, 0x934205b5, 0x1440006f,
+0x0, 0x8f8500e4, 0x8f8200e0, 0x2403fff8,
+0x433024, 0xc51023, 0x218c3, 0x4620001,
+0x24630100, 0x8f8a00c4, 0x10600005, 0x24020001,
+0x10620008, 0x0, 0x1000001d, 0x0,
+0x8f4203b0, 0x1403821, 0x24420001, 0x1000005b,
+0xaf4203b0, 0x8f4203b4, 0x24420001, 0xaf4203b4,
+0x8ca70000, 0x8f42013c, 0x1471823, 0x43102b,
+0x10400004, 0x2c62233f, 0x8f42013c, 0x621821,
+0x2c62233f, 0x1440004d, 0x3c020100, 0xaca20004,
+0x8f8200e8, 0x24420008, 0xaf8200e8, 0x8f8200e4,
+0x1403821, 0x24420008, 0x10000044, 0xaf8200e4,
+0x8f4203b8, 0x24420001, 0xaf4203b8, 0x8ca30000,
+0x8f42013c, 0x682023, 0x44102b, 0x10400003,
+0x0, 0x8f42013c, 0x822021, 0x8f420140,
+0x44102b, 0x50400003, 0x3c030100, 0x10000033,
+0x603821, 0x8ca20004, 0x431025, 0xaca20004,
+0x8f8200e4, 0x24450008, 0xaf8500e4, 0x8f8500e4,
+0x10a60024, 0x604821, 0x8f4201fc, 0x24420001,
+0xaf4201fc, 0x8ca20004, 0x491024, 0x1440000e,
+0x0, 0x8ca30000, 0x8f42013c, 0x682023,
+0x44102b, 0x10400003, 0x0, 0x8f42013c,
+0x822021, 0x8f420140, 0x44102b, 0x10400006,
+0x0, 0x603821, 0x8f420140, 0x44102b,
+0x1440000a, 0x0, 0x8ca20004, 0x491025,
+0xaca20004, 0x8f8200e4, 0x24450008, 0xaf8500e4,
+0x8f8500e4, 0x14a6ffe0, 0x0, 0x14a60005,
+0x0, 0x1403821, 0xaf8600e4, 0x10000003,
+0xaf8600e8, 0xaf8500e4, 0xaf8500e8, 0x8f8300c8,
+0x8f42013c, 0x682023, 0x44102b, 0x10400003,
+0x0, 0x8f42013c, 0x822021, 0x8f420140,
+0x82102b, 0x50400008, 0x5821, 0x8f42013c,
+0xe82023, 0x44102b, 0x10400003, 0x0,
+0x8f42013c, 0x822021, 0x8f420140, 0x82102b,
+0x10400006, 0x316200ff, 0x1440001c, 0x3c02fdff,
+0x934205b5, 0x14400019, 0x3c02fdff, 0xaf8700c8,
+0x8f8400c8, 0x8f8300c4, 0x8f42013c, 0x832023,
+0x44102b, 0x10400003, 0x0, 0x8f42013c,
+0x822021, 0x8f420140, 0x2c830001, 0x44102b,
+0x431025, 0x10400009, 0x3c02fdff, 0x8f820220,
+0x3c0308ff, 0x3463fffb, 0x431024, 0x3c034000,
+0x431025, 0x100000ac, 0xaf820220, 0x3442ffff,
+0x8f4303bc, 0x282a024, 0x24020001, 0xa34205b1,
+0x24630001, 0x100000a4, 0xaf4303bc, 0x2041024,
+0x1040000d, 0x3c110200, 0x8f420398, 0x24420001,
+0xaf420398, 0x8f820220, 0x3c0308ff, 0x3463ffff,
+0x431024, 0x441025, 0xc003f1c, 0xaf820220,
+0x10000095, 0x0, 0x2111024, 0x50400007,
+0x3c110400, 0x8f42039c, 0x24420001, 0xc003f1c,
+0xaf42039c, 0x1000002c, 0x0, 0x2111024,
+0x10400030, 0x3c020800, 0x8f830224, 0x24021402,
+0x1462001d, 0x3c050008, 0x3c040001, 0x24844ad4,
+0xafa00010, 0xafa00014, 0x8f860224, 0x34a50500,
+0xc002bdf, 0x3821, 0x3c040001, 0x24844aa0,
+0x2402037a, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74ae0, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8f4203a0, 0x24420001,
+0xaf4203a0, 0x8f820220, 0x2002021, 0x34420002,
+0xc004a40, 0xaf820220, 0x8f820220, 0x3c0308ff,
+0x3463ffff, 0x431024, 0x511025, 0x1000005a,
+0xaf820220, 0x2021024, 0x10400014, 0x2402038b,
+0x3c040001, 0x24844aa0, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74ae0, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x3c021000,
+0x2021024, 0x10400014, 0x2402038f, 0x3c040001,
+0x24844aa0, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74ae0, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x3c022000, 0x2021024,
+0x10400014, 0x24020393, 0x3c040001, 0x24844aa0,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74ae0, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x6010014, 0x24020398, 0x3c040001,
+0x24844aa0, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74ae0, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8fbf0020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0028, 0x3c020001,
+0x8c425038, 0x27bdffb0, 0xafbf0048, 0xafbe0044,
+0xafb50040, 0xafb3003c, 0xafb20038, 0xafb10034,
+0x1040000f, 0xafb00030, 0x3c040001, 0x24844ae8,
+0x3c050008, 0xafa00010, 0xafa00014, 0x8f860220,
+0x34a50600, 0x24020001, 0x3c010001, 0xac205038,
+0x3c010001, 0xac22502c, 0xc002bdf, 0x3821,
+0x3c037fff, 0x3021, 0x8cc20268, 0x3463ffff,
+0x3c04fdff, 0x431024, 0xacc20268, 0x8f420004,
+0x3484ffff, 0x30420002, 0x1040008d, 0x284a024,
+0x3c040600, 0x34842000, 0x8f420004, 0xc02821,
+0x2403fffd, 0x431024, 0xaf420004, 0xafa40020,
+0x8f5e0018, 0x27aa0020, 0x240200ff, 0x13c20002,
+0xafaa0028, 0x27c50001, 0x8cc20228, 0xa09021,
+0x1642000d, 0x1e40c0, 0x8f42032c, 0x24420001,
+0xaf42032c, 0x8cc20228, 0x3c040001, 0x24844a68,
+0x3c050009, 0xafa00014, 0xafa20010, 0x8fa60020,
+0x1000006a, 0x34a50500, 0x2e81021, 0x8fab0020,
+0x8fac0024, 0xac4b04c0, 0xac4c04c4, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x1040001b, 0x9821, 0x1008821, 0x263504c0,
+0x8f440168, 0x8f45016c, 0x2204821, 0x240b0004,
+0xafab0010, 0xafb20014, 0x8f42000c, 0x4021,
+0x2f53021, 0xafa20018, 0x8f42010c, 0x24070008,
+0xa92821, 0xa9182b, 0x882021, 0x40f809,
+0x832021, 0x54400006, 0x24130001, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffe9, 0x0,
+0x326200ff, 0x54400015, 0xaf520018, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fac0028,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24844a74,
+0x3c050009, 0xafa20014, 0x8d860000, 0x10000033,
+0x34a50600, 0x8f4202f8, 0x24130001, 0x24420001,
+0xaf4202f8, 0x1000001e, 0x326200ff, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x10400016, 0x9821, 0x3c150020, 0x24110010,
+0x8f42000c, 0x8f440150, 0x8f450154, 0x8f860120,
+0xafb10010, 0xafb20014, 0x551025, 0xafa20018,
+0x8f42010c, 0x24070008, 0x40f809, 0x24c6001c,
+0x1440ffe4, 0x0, 0x8f820054, 0x2021023,
+0x2c4203e9, 0x1440ffee, 0x0, 0x326200ff,
+0x14400010, 0x0, 0x8f420368, 0x24420001,
+0xaf420368, 0x8f820120, 0x8fad0028, 0xafa20010,
+0x8f820124, 0x3c040001, 0x24844a7c, 0x3c050009,
+0xafa20014, 0x8da60000, 0x34a50700, 0xc002bdf,
+0x3c03821, 0x8f4202dc, 0x24420001, 0xaf4202dc,
+0x8fbf0048, 0x8fbe0044, 0x8fb50040, 0x8fb3003c,
+0x8fb20038, 0x8fb10034, 0x8fb00030, 0x3e00008,
+0x27bd0050, 0x3e00008, 0x0, 0x27bdffe0,
+0x3c050008, 0x34a50300, 0xafbf0018, 0xafa00010,
+0xafa00014, 0x8f860200, 0x3c040001, 0x24844abc,
+0xc002bdf, 0x3821, 0x8f420400, 0x24420001,
+0xaf420400, 0x8fbf0018, 0x3e00008, 0x27bd0020,
+0x8f420130, 0xaf8200c0, 0x8f420130, 0xaf8200c4,
+0x8f420130, 0xaf8200c8, 0x8f42012c, 0xaf8200d0,
+0x8f42012c, 0xaf8200d4, 0x8f42012c, 0x3e00008,
+0xaf8200d8, 0x27bdffe0, 0x27840208, 0x24050200,
+0xafbf0018, 0xc002c1b, 0x24060008, 0x8c020204,
+0xc0041bf, 0xaf820210, 0x2021, 0x801021,
+0x8c460248, 0x24050004, 0xa01021, 0x3c010001,
+0xac225028, 0xc004c80, 0x0, 0x3c020001,
+0x8c425024, 0x30420001, 0x10400007, 0x24020001,
+0x3c010001, 0xac225028, 0x2021, 0x402821,
+0xc004c80, 0x3c06601b, 0x3c040001, 0x24844ab0,
+0x8f420144, 0x8f430148, 0x3c050008, 0x8f46014c,
+0x21640, 0x31940, 0x34630403, 0x431025,
+0x633c0, 0x461025, 0xaf82021c, 0xafa00010,
+0xafa00014, 0x8f86021c, 0x34a50200, 0xc002bdf,
+0x3821, 0x8fbf0018, 0x3c010001, 0xac205020,
+0x3c010001, 0xac205038, 0x3e00008, 0x27bd0020,
+0x3c020001, 0x8c425038, 0x27bdffe0, 0x1440000d,
+0xafbf0018, 0x3c040001, 0x24844af4, 0x3c050008,
+0xafa00010, 0xafa00014, 0x8f860220, 0x34a50700,
+0x24020001, 0x3c010001, 0xac225038, 0xc002bdf,
+0x3821, 0x3c020004, 0x2c21024, 0x10400008,
+0x2021, 0x8f820220, 0x3c0308ff, 0x3463ffff,
+0x431024, 0x34420008, 0xaf820220, 0x2021,
+0xc004d90, 0x24050004, 0x1821, 0xac620268,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x802821,
+0x30a20001, 0x1040002b, 0x3c03001f, 0x3463ffff,
+0x24a20004, 0x62102b, 0x54400007, 0x65102b,
+0x90a20001, 0x90a40003, 0x90a30000, 0x90a50002,
+0x1000002a, 0x441021, 0x10400003, 0x0,
+0x8f42013c, 0xa22823, 0x90a40000, 0x24a50001,
+0x65102b, 0x10400003, 0x0, 0x8f42013c,
+0xa22823, 0x90a20000, 0x24a50001, 0x21200,
+0x822021, 0x65102b, 0x10400003, 0x0,
+0x8f42013c, 0xa22823, 0x90a20000, 0x24a50001,
+0x822021, 0x65102b, 0x10400003, 0x0,
+0x8f42013c, 0xa22823, 0x90a20000, 0x1000002d,
+0x21200, 0x3463ffff, 0x24a20004, 0x62102b,
+0x5440000a, 0x65102b, 0x90a20000, 0x90a40002,
+0x90a30001, 0x90a50003, 0x441021, 0x21200,
+0x651821, 0x10000020, 0x432021, 0x10400003,
+0x0, 0x8f42013c, 0xa22823, 0x90a20000,
+0x24a50001, 0x22200, 0x65102b, 0x10400003,
+0x0, 0x8f42013c, 0xa22823, 0x90a20000,
+0x24a50001, 0x822021, 0x65102b, 0x10400003,
+0x0, 0x8f42013c, 0xa22823, 0x90a20000,
+0x24a50001, 0x21200, 0x822021, 0x65102b,
+0x10400003, 0x0, 0x8f42013c, 0xa22823,
+0x90a20000, 0x822021, 0x41c02, 0x3082ffff,
+0x622021, 0x41c02, 0x3082ffff, 0x622021,
+0x3e00008, 0x3082ffff, 0x86102b, 0x50400001,
+0x872023, 0xc41023, 0x24843, 0x125102b,
+0x1040001b, 0x91040, 0x824021, 0x88102b,
+0x10400007, 0x1821, 0x94820000, 0x24840002,
+0x621821, 0x88102b, 0x1440fffb, 0x0,
+0x602021, 0xc73023, 0xa91023, 0x21040,
+0xc22821, 0xc5102b, 0x10400007, 0x1821,
+0x94c20000, 0x24c60002, 0x621821, 0xc5102b,
+0x1440fffb, 0x0, 0x1000000d, 0x832021,
+0x51040, 0x822821, 0x85102b, 0x10400007,
+0x1821, 0x94820000, 0x24840002, 0x621821,
+0x85102b, 0x1440fffb, 0x0, 0x602021,
+0x41c02, 0x3082ffff, 0x622021, 0x41c02,
+0x3082ffff, 0x622021, 0x3e00008, 0x3082ffff,
+0x3e00008, 0x0, 0x0, 0x8f820220,
+0x34420002, 0xaf820220, 0x3c020001, 0x8c427238,
+0x30424000, 0x10400056, 0x24040001, 0x8f820200,
+0x24067fff, 0x8f830200, 0x30450002, 0x2402fffd,
+0x621824, 0xaf830200, 0xaf840204, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820224, 0x1444004f, 0x42040, 0xc4102b,
+0x1040fff1, 0x0, 0x8f820200, 0x451025,
+0xaf820200, 0x8f820220, 0x34428000, 0xaf820220,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630001,
+0x8f820054, 0x621023, 0x2c420002, 0x1440fffc,
+0x0, 0x8f820220, 0x3c030004, 0x431024,
+0x1440000f, 0x0, 0x8f820220, 0x3c03ffff,
+0x34637fff, 0x431024, 0xaf820220, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820220, 0x3c030004, 0x431024, 0x1440000d,
+0x0, 0x8f820220, 0x34428000, 0xaf820220,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630001,
+0x8f820054, 0x621023, 0x2c420002, 0x1440fffc,
+0x0, 0x8f820220, 0x3c030004, 0x431024,
+0x10400014, 0x0, 0x8f830220, 0x24020001,
+0x3c04f700, 0x641825, 0x3e00008, 0xaf830220,
+0x8f820220, 0x3c04f700, 0x441025, 0xaf820220,
+0x8f820220, 0x2403fffd, 0x431024, 0xaf820220,
+0x8f820220, 0x3c030300, 0x431024, 0x14400003,
+0x0, 0x3e00008, 0x1021, 0x8f820220,
+0x34420002, 0xaf820220, 0x8f830220, 0x24020001,
+0x641825, 0x3e00008, 0xaf830220, 0x27bdffe0,
+0x2021, 0x3c050100, 0x24020001, 0xafbf0018,
+0xaf80021c, 0xaf820200, 0xaf820220, 0x27625000,
+0xaf8200c0, 0xaf8200c4, 0xaf8200c8, 0xaf8200d0,
+0xaf8200d4, 0xaf8200d8, 0x27623000, 0xaf8200e0,
+0xaf8200e4, 0xaf8200e8, 0x27622800, 0xaf8200f0,
+0xaf8200f4, 0xaf8200f8, 0x418c0, 0x24840001,
+0x3631021, 0xac453004, 0xac403000, 0x28820200,
+0x1440fffa, 0x418c0, 0x2021, 0x418c0,
+0x24840001, 0x3631021, 0xac402804, 0xac402800,
+0x28820100, 0x1440fffa, 0x418c0, 0xaf80023c,
+0x24030080, 0x24040100, 0xac600000, 0x24630004,
+0x64102b, 0x5440fffd, 0xac600000, 0x8f830040,
+0x3c02f000, 0x621824, 0x3c025000, 0x1062000c,
+0x43102b, 0x14400006, 0x3c026000, 0x3c024000,
+0x1062000b, 0x2402027d, 0x1000000d, 0x0,
+0x10620007, 0x2402027d, 0x10000009, 0x0,
+0x24020700, 0x3c010001, 0x10000018, 0xac22503c,
+0x24020800, 0x3c010001, 0x10000014, 0xac22503c,
+0x3c040001, 0x24844bd0, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74be8, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf0018,
+0x3e00008, 0x27bd0020, 0x27bdffc8, 0xafbf0034,
+0xafb20030, 0xafb1002c, 0xafb00028, 0x3c010001,
+0xc004999, 0xac205024, 0x24040001, 0x2821,
+0x27a60020, 0x34028000, 0xc0049fd, 0xa7a20020,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630064,
+0x8f820054, 0x621023, 0x2c420065, 0x1440fffc,
+0x24040001, 0x802821, 0xc0049bb, 0x27a60020,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630064,
+0x8f820054, 0x621023, 0x2c420065, 0x1440fffc,
+0x24040001, 0x802821, 0xc0049bb, 0x27a60020,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630064,
+0x8f820054, 0x621023, 0x2c420065, 0x1440fffc,
+0x24040001, 0x24050002, 0xc0049bb, 0x27a60018,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630064,
+0x8f820054, 0x621023, 0x2c420065, 0x1440fffc,
+0x24040001, 0x24050003, 0xc0049bb, 0x27a6001a,
+0x97a20020, 0x10400029, 0x24020001, 0x3c020001,
+0x8c425024, 0x97a30018, 0x34420001, 0x3c010001,
+0xac225024, 0x24020015, 0x14620009, 0x0,
+0x97a2001a, 0x3843f423, 0x2c630001, 0x3842f430,
+0x2c420001, 0x621825, 0x14600018, 0x24020003,
+0x97a30018, 0x24027810, 0x14620014, 0x24020002,
+0x97a3001a, 0x24020001, 0x14620010, 0x24020002,
+0x1000000e, 0x24020004, 0x3c020001, 0x8c425024,
+0x34420008, 0x3c010001, 0xac225024, 0x10000058,
+0x24020004, 0x3c020001, 0x8c425024, 0x34420004,
+0x3c010001, 0x100000a9, 0xac225024, 0x3c010001,
+0xac225180, 0x24020e00, 0xaf820238, 0x8f840054,
+0x8f820054, 0x24030008, 0x3c010001, 0xac235028,
+0x10000002, 0x248401f4, 0x8f820054, 0x821023,
+0x2c4201f5, 0x1440fffc, 0x3c0200c8, 0x344201fb,
+0xaf820238, 0x8f830054, 0x8f820054, 0x10000002,
+0x246301f4, 0x8f820054, 0x621023, 0x2c4201f5,
+0x1440fffc, 0x8021, 0x24120001, 0x24110009,
+0xc0044cf, 0x0, 0x3c010001, 0xac325040,
+0xc00457a, 0x0, 0x3c020001, 0x8c425040,
+0x1451fffb, 0x3c0200c8, 0x344201f6, 0xaf820238,
+0x8f830054, 0x8f820054, 0x10000002, 0x2463000a,
+0x8f820054, 0x621023, 0x2c42000b, 0x1440fffc,
+0x0, 0x8f820220, 0x24040001, 0x34420002,
+0xaf820220, 0x8f830200, 0x24057fff, 0x2402fffd,
+0x621824, 0xaf830200, 0xaf840204, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820224, 0x14440005, 0x34028000, 0x42040,
+0xa4102b, 0x1040fff0, 0x34028000, 0x1082ffa6,
+0x26100001, 0x2e020014, 0x1440ffcd, 0x24020004,
+0x3c010001, 0xac225028, 0x8021, 0x24120009,
+0x3c11ffff, 0x36313f7f, 0xc0044cf, 0x0,
+0x24020001, 0x3c010001, 0xac225040, 0xc00457a,
+0x0, 0x3c020001, 0x8c425040, 0x1452fffb,
+0x0, 0x8f820044, 0x511024, 0x34425080,
+0xaf820044, 0x8f830054, 0x8f820054, 0x10000002,
+0x2463000a, 0x8f820054, 0x621023, 0x2c42000b,
+0x1440fffc, 0x0, 0x8f820044, 0x511024,
+0x3442f080, 0xaf820044, 0x8f830054, 0x8f820054,
+0x10000002, 0x2463000a, 0x8f820054, 0x621023,
+0x2c42000b, 0x1440fffc, 0x0, 0x8f820220,
+0x3c03f700, 0x431025, 0xaf820220, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630064, 0x8f820054,
+0x621023, 0x2c420065, 0x1440fffc, 0x0,
+0x8f820220, 0x24040001, 0x34420002, 0xaf820220,
+0x8f830200, 0x24057fff, 0x2402fffd, 0x621824,
+0xaf830200, 0xaf840204, 0x8f830054, 0x8f820054,
+0x10000002, 0x24630001, 0x8f820054, 0x621023,
+0x2c420002, 0x1440fffc, 0x0, 0x8f820224,
+0x14440005, 0x34028000, 0x42040, 0xa4102b,
+0x1040fff0, 0x34028000, 0x1082ff56, 0x26100001,
+0x2e020064, 0x1440ffb0, 0x0, 0x3c020001,
+0x8c425024, 0x30420004, 0x14400007, 0x3c08fff0,
+0x8f820044, 0x3c03ffff, 0x34633f7f, 0x431024,
+0xaf820044, 0x3c08fff0, 0x3508bdc0, 0x8f830054,
+0x97a60018, 0x3c070001, 0x8ce75180, 0x3c040001,
+0x24844c00, 0x24020001, 0x3c010001, 0xac22502c,
+0xafa60010, 0x3c060001, 0x8cc65024, 0x97a2001a,
+0x3c05000d, 0x34a50100, 0x3c010001, 0xac205028,
+0x681821, 0x3c010001, 0xac235178, 0xc002bdf,
+0xafa20014, 0x8fbf0034, 0x8fb20030, 0x8fb1002c,
+0x8fb00028, 0x3e00008, 0x27bd0038, 0x27bdffe8,
+0x24070004, 0x3c040001, 0x8c845028, 0x3021,
+0x24020001, 0x1482000a, 0xafbf0010, 0x3c020001,
+0x8c42723c, 0x3c050004, 0x30428000, 0x1040000c,
+0x34a593e0, 0x3c05000f, 0x10000009, 0x34a54240,
+0x3c020001, 0x8c42723c, 0x3c05000f, 0x30428000,
+0x10400003, 0x34a54240, 0x3c05001e, 0x34a58480,
+0x3c020001, 0x8c425178, 0x8f830054, 0x451021,
+0x431023, 0x45102b, 0x1440002e, 0x0,
+0x3c020001, 0x8c425030, 0x1440002a, 0x2cc20001,
+0x7182b, 0x431024, 0x1040001d, 0x0,
+0x3c090001, 0x8d295024, 0x240b0001, 0x3c054000,
+0x3c080001, 0x2508723c, 0x250afffc, 0x42042,
+0x14800002, 0x24e7ffff, 0x24040008, 0x891024,
+0x1040000b, 0x2cc20001, 0x148b0004, 0x0,
+0x8d020000, 0x10000003, 0x451024, 0x8d420000,
+0x451024, 0x54400001, 0x24060001, 0x2cc20001,
+0x7182b, 0x431024, 0x5440ffed, 0x42042,
+0x3c010001, 0x10c00020, 0xac245028, 0x8f830054,
+0x24020001, 0x3c010001, 0xac22502c, 0x3c010001,
+0xac235178, 0x3c020001, 0x8c42502c, 0x10400004,
+0x24020001, 0x3c010001, 0xac20502c, 0xaee204b8,
+0x8ee304b8, 0x24020008, 0x10620005, 0x24020001,
+0xc004362, 0x0, 0x1000000b, 0x0,
+0x3c030001, 0x8c635028, 0x10620007, 0x2402000e,
+0x3c030001, 0x8c6371d0, 0x10620003, 0x0,
+0xc004a40, 0x8f840220, 0x8fbf0010, 0x3e00008,
+0x27bd0018, 0x27bdffe0, 0x3c03fdff, 0x3c040001,
+0x8c845028, 0x3c020001, 0x8c425048, 0x3463ffff,
+0x283a024, 0x14820006, 0xafbf0018, 0x8ee304b8,
+0x3c020001, 0x8c42504c, 0x10620006, 0x0,
+0x8ee204b8, 0x3c010001, 0xac245048, 0x3c010001,
+0xac22504c, 0x3c030001, 0x8c635028, 0x24020002,
+0x10620152, 0x2c620003, 0x10400005, 0x24020001,
+0x1062000a, 0x0, 0x1000014a, 0x0,
+0x24020004, 0x10620080, 0x24020008, 0x106200b2,
+0x24020001, 0x10000143, 0x0, 0x8ee204b8,
+0x2443ffff, 0x2c620008, 0x10400140, 0x31080,
+0x3c010001, 0x220821, 0x8c224c18, 0x400008,
+0x0, 0xc0044cf, 0x0, 0x3c020001,
+0x8c425034, 0x3c010001, 0xac204fc0, 0x104000ec,
+0x24020002, 0xaee204b8, 0x3c010001, 0x1000012f,
+0xac205034, 0xc0045f4, 0x0, 0x3c030001,
+0x8c635050, 0x100000b2, 0x24020011, 0x3c050001,
+0x8ca55028, 0x3c060001, 0x8cc6723c, 0xc004c80,
+0x2021, 0x24020005, 0x3c010001, 0xac205034,
+0x1000011e, 0xaee204b8, 0x3c040001, 0x24844c0c,
+0x3c05000f, 0x34a50100, 0x3021, 0xc03821,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x3c040001,
+0x24844bd0, 0x24020423, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74be8, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0x10000100, 0xaf820140,
+0x8f820220, 0x3c03f700, 0x431025, 0x100000a5,
+0xaf820220, 0x8f820220, 0x3c030004, 0x431024,
+0x144000b0, 0x24020007, 0x8f830054, 0x3c020001,
+0x8c425170, 0x2463d8f0, 0x431023, 0x2c422710,
+0x144000ee, 0x24020001, 0x100000ea, 0x0,
+0x3c050001, 0x8ca55028, 0xc004d90, 0x2021,
+0xc004e60, 0x2021, 0x3c030001, 0x8c637234,
+0x46100e0, 0x24020001, 0x3c020008, 0x621024,
+0x10400006, 0x0, 0x8f820214, 0x3c03ffff,
+0x431024, 0x10000005, 0x3442251f, 0x8f820214,
+0x3c03ffff, 0x431024, 0x3442241f, 0xaf820214,
+0x8f820220, 0x3c030200, 0x283a025, 0x34420002,
+0xaf820220, 0x24020008, 0xc00402d, 0xaee204b8,
+0x100000ca, 0x0, 0x8ee204b8, 0x2443ffff,
+0x2c620008, 0x104000c5, 0x31080, 0x3c010001,
+0x220821, 0x8c224c38, 0x400008, 0x0,
+0x3c020001, 0x8c427238, 0x30424000, 0x10400004,
+0x0, 0x8f820044, 0x10000006, 0x3442f080,
+0x8f820044, 0x3c03ffff, 0x34633f7f, 0x431024,
+0x3442a080, 0xaf820044, 0x8f830054, 0x1000005b,
+0x24020004, 0xc0040ec, 0x0, 0x104000a9,
+0x24020001, 0x8f820214, 0x3c03ffff, 0x3c040001,
+0x8c845168, 0x431024, 0x3442251f, 0xaf820214,
+0x24020008, 0x10800005, 0xaee204b8, 0x3c020001,
+0x8c4250b4, 0x1040006f, 0x24020001, 0x8f820220,
+0x3c030008, 0x431024, 0x10400075, 0x3c020200,
+0x10000083, 0x0, 0x8ee204b8, 0x2443ffff,
+0x2c620007, 0x10400091, 0x31080, 0x3c010001,
+0x220821, 0x8c224c58, 0x400008, 0x0,
+0xc003f1c, 0x0, 0x3c010001, 0xac20502c,
+0xaf800204, 0x3c010001, 0xac207220, 0xc0044cf,
+0x0, 0x24020001, 0x3c010001, 0xac225040,
+0x24020002, 0x1000007d, 0xaee204b8, 0xc00457a,
+0x0, 0x3c030001, 0x8c635040, 0x24020009,
+0x14620076, 0x24020003, 0x10000074, 0xaee204b8,
+0x3c020001, 0x8c427238, 0x30424000, 0x10400003,
+0x3c0200c8, 0x10000002, 0x344201f6, 0x344201fe,
+0xaf820238, 0x8f830054, 0x10000014, 0x24020004,
+0x8f830054, 0x3c020001, 0x8c425170, 0x2463d8f0,
+0x431023, 0x2c422710, 0x14400060, 0x24020005,
+0x1000005e, 0xaee204b8, 0x8f820220, 0x3c03f700,
+0x431025, 0xaf820220, 0xaf800204, 0x3c010001,
+0xac207220, 0x8f830054, 0x24020006, 0xaee204b8,
+0x3c010001, 0xac235170, 0x10000050, 0x0,
+0x8f830054, 0x3c020001, 0x8c425170, 0x2463fff6,
+0x431023, 0x2c42000a, 0x14400048, 0x0,
+0x24020007, 0x10000045, 0xaee204b8, 0xc0040ec,
+0x0, 0x1040003f, 0x24020001, 0x8f820214,
+0x3c03ffff, 0x3c040001, 0x8c845168, 0x431024,
+0x3442251f, 0xaf820214, 0x24020008, 0x1080000f,
+0xaee204b8, 0x3c020001, 0x8c4250b4, 0x1440000b,
+0x0, 0x8f820220, 0x34420002, 0xaf820220,
+0x24020001, 0x3c010001, 0xac2271d0, 0xc004a40,
+0x8f840220, 0x10000016, 0x0, 0x8f820220,
+0x3c030008, 0x431024, 0x14400011, 0x3c020200,
+0x282a025, 0x2402000e, 0x3c010001, 0xac2271d0,
+0xc004e60, 0x2021, 0x8f820220, 0x34420002,
+0xc00402d, 0xaf820220, 0x3c050001, 0x8ca55028,
+0xc004d90, 0x2021, 0x10000014, 0x0,
+0x3c020001, 0x8c4250b4, 0x10400010, 0x0,
+0x3c020001, 0x8c4250b0, 0x2442ffff, 0x3c010001,
+0xac2250b0, 0x14400009, 0x24020002, 0x3c010001,
+0xac2050b4, 0x3c010001, 0xac2250b0, 0x10000003,
+0x0, 0x3c010001, 0xac22502c, 0x8fbf0018,
+0x3e00008, 0x27bd0020, 0x8f820200, 0x8f820220,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820200,
+0x3c040001, 0x8c845028, 0x34420004, 0xaf820200,
+0x24020002, 0x1082003a, 0x2c820003, 0x10400005,
+0x24020001, 0x1082000a, 0x3c03f0ff, 0x3e00008,
+0x0, 0x24020004, 0x1082005a, 0x24020008,
+0x1082006d, 0x3c02f0ff, 0x3e00008, 0x0,
+0x8f820050, 0x3463ffff, 0x3c05ffff, 0x34a53f7f,
+0x431024, 0x3c030700, 0x431025, 0xaf820050,
+0x24020e00, 0xaf840200, 0xaf840220, 0xaf820238,
+0x8f820044, 0x3c030001, 0x8c635018, 0x3c040001,
+0x8c845180, 0x451024, 0x34630022, 0xaf820044,
+0x24020004, 0x1082000c, 0xaf830200, 0x3c020001,
+0x8c42503c, 0x3c030001, 0x8c635020, 0x3c040001,
+0x8c84501c, 0x34428000, 0x621825, 0x641825,
+0x10000032, 0x34620002, 0x3c020001, 0x8c425020,
+0x3c030001, 0x8c63503c, 0x3c040001, 0x8c84501c,
+0x431025, 0x441025, 0x10000028, 0x34420002,
+0x8f830050, 0x3c02f0ff, 0x3442ffff, 0x3c040001,
+0x8c845168, 0x621824, 0x3c020d00, 0x621825,
+0x24020001, 0xaf830050, 0xaf820200, 0xaf820220,
+0x24020e00, 0x10800009, 0xaf820238, 0x3c020001,
+0x8c4250b4, 0x14400005, 0x3c033f00, 0x3c020001,
+0x8c425010, 0x10000005, 0x34630070, 0x3c020001,
+0x8c425010, 0x3c033f00, 0x34630072, 0x431025,
+0xaf820200, 0x3c030001, 0x8c635014, 0x3c04f700,
+0x3c020001, 0x8c425020, 0x3c050001, 0x8ca5503c,
+0x641825, 0x431025, 0x451025, 0x3e00008,
+0xaf820220, 0x8f830050, 0x3c02f0ff, 0x3442ffff,
+0x3c040001, 0x8c845168, 0x621824, 0x3c020a00,
+0x621825, 0x24020001, 0xaf830050, 0xaf820200,
+0x1080001e, 0xaf820220, 0x3c020001, 0x8c4250b4,
+0x1440001a, 0x3c033f00, 0x3c020001, 0x8c425010,
+0x1000001a, 0x346300e0, 0x8f830050, 0x3c040001,
+0x8c845168, 0x3442ffff, 0x621824, 0x1080000f,
+0xaf830050, 0x3c020001, 0x8c4250b4, 0x1440000b,
+0x3c043f00, 0x3c030001, 0x8c635010, 0x348400e0,
+0x24020001, 0xaf820200, 0xaf820220, 0x641825,
+0xaf830200, 0x10000008, 0x3c05f700, 0x3c020001,
+0x8c425010, 0x3c033f00, 0x346300e2, 0x431025,
+0xaf820200, 0x3c05f700, 0x34a58000, 0x3c030001,
+0x8c635014, 0x3c020001, 0x8c425020, 0x3c040001,
+0x8c84503c, 0x651825, 0x431025, 0x1000ffc3,
+0x441025, 0x3c030001, 0x8c635040, 0x3c020001,
+0x8c425044, 0x27bdffe0, 0x10620003, 0xafbf0018,
+0x3c010001, 0xac235044, 0x24020002, 0x1062003a,
+0x2c620003, 0x10400005, 0x24020001, 0x10620008,
+0x24020004, 0x10000052, 0x0, 0x24020009,
+0x1062003c, 0x24020840, 0x1000004d, 0x0,
+0x3c030001, 0x8c635028, 0x10620007, 0x24020008,
+0x1462000e, 0x24020827, 0x3c0200c8, 0x344201fb,
+0x1000001d, 0xaf820238, 0x24020e01, 0xaf820238,
+0x8f820044, 0x3c03ffff, 0x34633f7f, 0x431024,
+0x34420080, 0x10000014, 0xaf820044, 0x3c040001,
+0x24844bd0, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74be8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8f830054, 0x24020002,
+0x3c010001, 0xac225040, 0x3c010001, 0xac235174,
+0x10000033, 0x0, 0x8f830054, 0x3c020001,
+0x8c425174, 0x2463d8f0, 0x431023, 0x2c422710,
+0x1440002b, 0x24020009, 0x3c010001, 0x10000028,
+0xac225040, 0x3c040001, 0x24844bd0, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74be8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x3c040001, 0x24844bd0, 0x24020846, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74be8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x3c030001,
+0x8c635050, 0x3c020001, 0x8c425094, 0x27bdffd8,
+0xafbf0020, 0xafb1001c, 0x10620003, 0xafb00018,
+0x3c010001, 0xac235094, 0x2463ffff, 0x2c620013,
+0x1040034c, 0x31080, 0x3c010001, 0x220821,
+0x8c224c98, 0x400008, 0x0, 0xc004999,
+0x8021, 0x34028000, 0xa7a20010, 0x27b10010,
+0xc004973, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004973, 0x2021,
+0xc004973, 0x24040001, 0xc004973, 0x2021,
+0xc004973, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0xc004973, 0x2021, 0x108042, 0x1600fffc,
+0x0, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x34108000, 0x96220000, 0x501024,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fff8, 0x0, 0xc004999,
+0x0, 0x10000311, 0x24020002, 0x27b10010,
+0xa7a00010, 0x8021, 0xc004973, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0xc004973, 0x24040001, 0xc004973, 0x2021,
+0x24100010, 0x32020001, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x32020001, 0x24100010, 0xc004973, 0x2021,
+0x108042, 0x1600fffc, 0x0, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x0, 0x97a20010,
+0x30428000, 0x144002df, 0x24020003, 0x100002db,
+0x0, 0x24021200, 0xa7a20010, 0x27b10010,
+0x8021, 0xc004973, 0x24040001, 0x26100001,
+0x2e020020, 0x1440fffb, 0x0, 0xc004973,
+0x2021, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0xc004973, 0x24040001, 0x24100010,
+0x32020001, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fffa, 0x32020001,
+0x24100010, 0xc004973, 0x2021, 0x108042,
+0x1600fffc, 0x0, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0x34108000, 0x96220000,
+0x501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fff8, 0x0,
+0xc004999, 0x0, 0x8f830054, 0x10000298,
+0x24020004, 0x8f830054, 0x3c020001, 0x8c42517c,
+0x2463ff9c, 0x431023, 0x2c420064, 0x144002a1,
+0x24020002, 0x3c030001, 0x8c635180, 0x1062029a,
+0x2c620003, 0x14400299, 0x24020011, 0x24020003,
+0x10620005, 0x24020004, 0x10620005, 0x24020011,
+0x10000292, 0x0, 0x10000290, 0x24020005,
+0x1000028e, 0x2402000f, 0x24020014, 0xa7a20010,
+0x27b10010, 0x8021, 0xc004973, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0x24100010, 0x32020001, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x32020001, 0x24100010, 0x32020012, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020012, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0x34108000, 0x96220000,
+0x501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fff8, 0x0,
+0xc004999, 0x0, 0x8f830054, 0x10000248,
+0x24020006, 0x8f830054, 0x3c020001, 0x8c42517c,
+0x2463ff9c, 0x431023, 0x2c420064, 0x14400251,
+0x24020007, 0x1000024d, 0x0, 0x24020006,
+0xa7a20010, 0x27b10010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020013,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020013, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x10000207, 0x24020008, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400210, 0x24020009, 0x1000020c, 0x0,
+0x27b10010, 0xa7a00010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020018,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020018, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x8021, 0x97a20010,
+0x27b10010, 0x34420001, 0xa7a20010, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020018,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020018, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x10000193, 0x2402000a, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x1440019c, 0x2402000b, 0x10000198, 0x0,
+0x27b10010, 0xa7a00010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020017,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020017, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x8021, 0x97a20010,
+0x27b10010, 0x34420700, 0xa7a20010, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020017,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020017, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x1000011f, 0x2402000c, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400128, 0x24020012, 0x10000124, 0x0,
+0x27b10010, 0xa7a00010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020014,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020014, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x8021, 0x97a20010,
+0x27b10010, 0x34420010, 0xa7a20010, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020014,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020014, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x100000ab, 0x24020013, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x144000b4, 0x2402000d, 0x100000b0, 0x0,
+0x27b10010, 0xa7a00010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020018,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020018, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x8021, 0x97a20010,
+0x27b10010, 0x3042fffe, 0xa7a20010, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020018,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020018, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x10000037, 0x2402000e, 0x24020840, 0xa7a20010,
+0x27b10010, 0x8021, 0xc004973, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0x24100010, 0x32020001, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x32020001, 0x24100010, 0x32020013, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020013, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0x34108000, 0x96220000,
+0x501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fff8, 0x0,
+0xc004999, 0x0, 0x8f830054, 0x24020010,
+0x3c010001, 0xac225050, 0x3c010001, 0xac23517c,
+0x1000000c, 0x0, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400004, 0x0, 0x24020011, 0x3c010001,
+0xac225050, 0x8fbf0020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0028, 0x8f850044, 0x8f820044,
+0x3c030001, 0x431025, 0x3c030008, 0xaf820044,
+0x8f840054, 0x8f820054, 0xa32824, 0x10000002,
+0x24840001, 0x8f820054, 0x821023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820044, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820044, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0xa01021,
+0x3e00008, 0x0, 0x8f830044, 0x3c02fff0,
+0x3442ffff, 0x42480, 0x621824, 0x3c020002,
+0x822025, 0x641825, 0xaf830044, 0x8f820044,
+0x3c030001, 0x431025, 0xaf820044, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820044, 0x3c03fffe, 0x3463ffff, 0x431024,
+0xaf820044, 0x8f830054, 0x8f820054, 0x10000002,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x3e00008, 0x0,
+0x8f820044, 0x3c03fff0, 0x3463ffff, 0x431024,
+0xaf820044, 0x8f820044, 0x3c030001, 0x431025,
+0xaf820044, 0x8f830054, 0x8f820054, 0x10000002,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820044, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820044, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x3e00008, 0x0, 0x27bdffd8, 0xafb20018,
+0x809021, 0xafb3001c, 0xa09821, 0xafb10014,
+0xc08821, 0xafb00010, 0x8021, 0xafbf0020,
+0xa6200000, 0xc004973, 0x24040001, 0x26100001,
+0x2e020020, 0x1440fffb, 0x0, 0xc004973,
+0x2021, 0xc004973, 0x24040001, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x24100010,
+0x2501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fffa, 0x2501024,
+0x24100010, 0x2701024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x2701024, 0xc004999, 0x34108000, 0xc004999,
+0x0, 0xc004953, 0x0, 0x50400005,
+0x108042, 0x96220000, 0x501025, 0xa6220000,
+0x108042, 0x1600fff7, 0x0, 0xc004999,
+0x0, 0x8fbf0020, 0x8fb3001c, 0x8fb20018,
+0x8fb10014, 0x8fb00010, 0x3e00008, 0x27bd0028,
+0x27bdffd8, 0xafb10014, 0x808821, 0xafb20018,
+0xa09021, 0xafb3001c, 0xc09821, 0xafb00010,
+0x8021, 0xafbf0020, 0xc004973, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0x24100010, 0x2301024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x2301024, 0x24100010, 0x2501024, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x2501024, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0x34108000, 0x96620000,
+0x501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fff8, 0x0,
+0xc004999, 0x0, 0x8fbf0020, 0x8fb3001c,
+0x8fb20018, 0x8fb10014, 0x8fb00010, 0x3e00008,
+0x27bd0028, 0x0, 0x0, 0x27bdffe8,
+0xafbf0014, 0xafb00010, 0x8ee304b8, 0x24020008,
+0x146201bb, 0x0, 0x3c020001, 0x8c425168,
+0x14400005, 0x0, 0xc003f1c, 0x8f840224,
+0x100001b3, 0x0, 0x8f820220, 0x3c030008,
+0x431024, 0x10400025, 0x24030001, 0x8f840224,
+0x8f820220, 0x3c030400, 0x431024, 0x10400006,
+0x0, 0x3c020001, 0x244271e0, 0xac400000,
+0x1000000a, 0xac400020, 0x3c030001, 0x246371e0,
+0x8c620000, 0x24420001, 0xac620000, 0x2c420002,
+0x14400002, 0x24020001, 0xac620020, 0x3c030001,
+0x24637200, 0x8c620000, 0x10400005, 0x30820040,
+0x10400003, 0x24020001, 0x10000003, 0xac620004,
+0x3c010001, 0xac207204, 0x3c020001, 0x244271dc,
+0xac440000, 0x10000008, 0xac400034, 0x3c020001,
+0x24427210, 0xac430000, 0xac40fff0, 0xac40ffd0,
+0xac40fff4, 0xac40ffcc, 0x3c040001, 0x248471d0,
+0x8c830000, 0x8c820004, 0x54620001, 0xac830004,
+0x2463ffff, 0x2c62000e, 0x10400175, 0x31080,
+0x3c010001, 0x220821, 0x8c224d08, 0x400008,
+0x0, 0x3c020001, 0x24427200, 0x24030002,
+0xac400000, 0xac40ffe0, 0xac40ffdc, 0xac400004,
+0xac40fff8, 0xac40fff0, 0xaf800224, 0xac43ffd0,
+0x3c100001, 0x26107210, 0x8e020000, 0x14400047,
+0x3c02fdff, 0x3442ffff, 0xc003f1c, 0x282a024,
+0xaf800204, 0x8f820200, 0x2403fffd, 0x431024,
+0xaf820200, 0xae000010, 0x8f830054, 0x8e02ffe8,
+0x24040001, 0xae04fffc, 0x441021, 0xae02ffe8,
+0x2c420004, 0x14400005, 0xae03ffe4, 0x3c010001,
+0xac24502c, 0x1000014a, 0xae00ffe8, 0x24020003,
+0x10000147, 0xae02ffc0, 0x3c040001, 0x248471f4,
+0x8f830054, 0x8c820000, 0x2463d8f0, 0x431023,
+0x2c422710, 0x14400002, 0x24020004, 0xac82ffdc,
+0x8c82001c, 0x14400021, 0x3c02fdff, 0x3442ffff,
+0x10000137, 0x282a024, 0x3c040001, 0x8c84516c,
+0x3c100001, 0x261071e8, 0xc004c73, 0xae000000,
+0x8e020034, 0xaf820204, 0x8e020028, 0x14400013,
+0x3c03fdff, 0x8f820204, 0x3463ffff, 0x30420030,
+0x10400004, 0x283a024, 0x24020002, 0x10000124,
+0xae02ffe8, 0x8e030034, 0x24020005, 0xae02ffe8,
+0x1000011f, 0xae030038, 0x3c040001, 0x24847210,
+0x8c820000, 0x10400011, 0x3c02fdff, 0x3c020001,
+0x8c4250ac, 0x24420001, 0x3c010001, 0xac2250ac,
+0x2c420002, 0x14400112, 0x24020001, 0x3c010001,
+0xac2250b4, 0x3c010001, 0xac2050ac, 0x3c010001,
+0xac22502c, 0x1000010a, 0x0, 0x8c83fff0,
+0x3442ffff, 0x10600106, 0x282a024, 0x8c82ffcc,
+0x10400103, 0x0, 0xac82fff8, 0x24020003,
+0xac82ffd0, 0x24020006, 0x100000fd, 0xac82ffc0,
+0x3c040001, 0x248471e8, 0xac800000, 0x8f820204,
+0x34420040, 0xaf820204, 0x8c820038, 0x24030007,
+0xac83ffe8, 0x34420040, 0xac820038, 0x3c030001,
+0x24637200, 0x8c620000, 0x10400004, 0x0,
+0x8c62ffdc, 0x104000e2, 0x24020002, 0x3c050001,
+0x24a571e0, 0x8ca20000, 0x2c424e21, 0x10400088,
+0x24020002, 0x8ca20024, 0x104000e1, 0x2404ffbf,
+0x8ca2fffc, 0x8ca30028, 0x441024, 0x641824,
+0x10430003, 0x24020001, 0x100000d9, 0xaca2fff0,
+0x24020003, 0xaca20000, 0x24020008, 0xaca2fff0,
+0x3c100001, 0x2610720c, 0x8e020000, 0x1040000a,
+0x24020001, 0x8e04ffd0, 0xc004c05, 0x0,
+0x8e02001c, 0x14400004, 0x24020001, 0x8e020018,
+0x10400005, 0x24020001, 0x3c010001, 0xac22502c,
+0x100000c3, 0xae00ffec, 0x8e02ffe4, 0x8e03ffd0,
+0x2c420001, 0x210c0, 0x30630008, 0xae02ffe4,
+0xae03ffe0, 0x8f830054, 0x24020009, 0xae02ffc4,
+0x100000b7, 0xae03ffe8, 0x3c040001, 0x248471f4,
+0x8f830054, 0x8c820000, 0x2463d8f0, 0x431023,
+0x2c422710, 0x1440002c, 0x0, 0x8c82000c,
+0x10400004, 0x0, 0x8c82ffe8, 0x1040008e,
+0x24020002, 0x3c030001, 0x246371e0, 0x8c620000,
+0x2c424e21, 0x10400090, 0x24020002, 0x8c62002c,
+0x1040000e, 0x0, 0x8c62fffc, 0x30420080,
+0x10400005, 0xac60002c, 0x8f820204, 0x30420080,
+0x1440000e, 0x24020003, 0x2402000c, 0x3c010001,
+0xac2271d0, 0x10000092, 0x0, 0x8c62fffc,
+0x30420080, 0x14400005, 0x24020003, 0x8f820204,
+0x30420080, 0x10400005, 0x24020003, 0xac620000,
+0x2402000a, 0x1000000c, 0xac62fff0, 0x2402000c,
+0x10000083, 0xac62fff0, 0x8c82000c, 0x10400080,
+0x0, 0x8c82ffe8, 0x1440007d, 0x24020002,
+0x1000007b, 0xac82ffdc, 0x3c040001, 0x24847218,
+0x8c820000, 0x8c83ffd8, 0x431025, 0xaf820204,
+0x8c830000, 0x8c85ffd8, 0x2402000b, 0xac82ffb8,
+0x651825, 0xac830008, 0x3c050001, 0x24a571e0,
+0x8ca20000, 0x2c424e21, 0x1040000d, 0x24020002,
+0x8ca20030, 0x10400003, 0x2402000c, 0x10000064,
+0xaca2fff0, 0x8ca20020, 0x10400061, 0x0,
+0x8ca4fffc, 0x14800004, 0x30820008, 0x24020002,
+0x1000005b, 0xaca2fff0, 0x8ca3000c, 0x10620058,
+0x24020003, 0xaca20000, 0x24020006, 0xaca40028,
+0x10000053, 0xaca2fff0, 0x8f820200, 0x34420002,
+0xaf820200, 0x8f840054, 0x3c030001, 0x246371f4,
+0x2402000d, 0xac62ffdc, 0xac640000, 0x3c040001,
+0x248471f4, 0x8f830054, 0x8c820000, 0x2463d8f0,
+0x431023, 0x2c422710, 0x14400031, 0x0,
+0x8c82001c, 0x1040001e, 0x2402000e, 0x8c830030,
+0x14600015, 0xac82ffdc, 0xc00402d, 0x0,
+0x3c050001, 0x8ca55028, 0xc004d90, 0x2021,
+0x3c030001, 0x8c635028, 0x24020004, 0x14620005,
+0x2403fffb, 0x3c020001, 0x8c425024, 0x10000003,
+0x2403fff7, 0x3c020001, 0x8c425024, 0x431024,
+0x3c010001, 0xac225024, 0x8f830224, 0x3c020200,
+0x3c010001, 0xac23722c, 0x10000021, 0x282a025,
+0x8c82000c, 0x10400006, 0x0, 0x8c82ffe8,
+0x14400003, 0x24020002, 0x10000019, 0xac82ffdc,
+0x3c030001, 0x246371e0, 0x8c620000, 0x2c424e21,
+0x14400003, 0x24020002, 0x10000011, 0xac62fff0,
+0x3c030001, 0x24637200, 0x8c620000, 0x1040000c,
+0x0, 0x8c62ffdc, 0x14400009, 0x24020002,
+0x10000007, 0xac62ffd0, 0x3c020001, 0x8c427200,
+0x10400003, 0x0, 0xc003f1c, 0x0,
+0x8f820220, 0x3c03f700, 0x431025, 0xaf820220,
+0x8fbf0014, 0x8fb00010, 0x3e00008, 0x27bd0018,
+0x27bdffe0, 0x3c030001, 0x24637224, 0x30820030,
+0xafbf0018, 0x14400065, 0xac620000, 0x3c02ffff,
+0x34421f0e, 0x821024, 0x1440005f, 0x24020030,
+0x30822000, 0x1040005b, 0x30820001, 0x30838000,
+0x31a02, 0x21200, 0x3c040001, 0x8c84516c,
+0x621825, 0x331c2, 0x30828000, 0x21202,
+0x30840001, 0x42200, 0x441025, 0x239c2,
+0x61080, 0xe21021, 0x3c040001, 0x822021,
+0x908450b8, 0x24020001, 0x10820025, 0x0,
+0x10800007, 0x24020002, 0x10820013, 0x24020003,
+0x1082002c, 0x3c05000f, 0x10000037, 0x34a5ffff,
+0x8f820200, 0x2403feff, 0x431024, 0xaf820200,
+0x8f820220, 0x3c03fffe, 0x3463ffff, 0x431024,
+0xaf820220, 0x3c020001, 0x24427244, 0xac400000,
+0x10000032, 0xac400008, 0x8f820200, 0x34420100,
+0xaf820200, 0x8f820220, 0x3c03fffe, 0x3463ffff,
+0x431024, 0x3c030001, 0x24637244, 0xaf820220,
+0x24020100, 0xac620000, 0x10000024, 0xac600008,
+0x8f820200, 0x2403feff, 0x431024, 0xaf820200,
+0x8f820220, 0x3c030001, 0x431025, 0xaf820220,
+0x3c020001, 0x24427244, 0xac400000, 0x10000017,
+0xac430008, 0x8f820200, 0x34420100, 0xaf820200,
+0x8f820220, 0x3c040001, 0x3c030001, 0x24637244,
+0x441025, 0xaf820220, 0x24020100, 0xac620000,
+0x1000000a, 0xac640008, 0xafa40010, 0x3c040001,
+0x24844d40, 0xc002bdf, 0xafa00014, 0x10000003,
+0x0, 0x24020030, 0xac620004, 0x8fbf0018,
+0x3e00008, 0x27bd0020, 0x3c030001, 0x24637228,
+0x8c620000, 0x10400004, 0x34422000, 0xac62fff4,
+0x3e00008, 0xac600000, 0x3e00008, 0xac64fff4,
+0x0, 0x0, 0x0, 0x27bdffc8,
+0xafb20028, 0x809021, 0xafb3002c, 0xa09821,
+0xafb00020, 0xc08021, 0x3c040001, 0x24844d68,
+0x3c050009, 0x3c020001, 0x8c425028, 0x34a59001,
+0x2403021, 0x2603821, 0xafbf0030, 0xafb10024,
+0xa7a0001a, 0xafb00014, 0xc002bdf, 0xafa20010,
+0x24020002, 0x126200f2, 0x2e620003, 0x10400005,
+0x24020001, 0x1262000a, 0x3c02fffb, 0x100000ec,
+0x0, 0x24020004, 0x12620070, 0x24020008,
+0x1262006f, 0x3c02ffec, 0x100000e5, 0x0,
+0x3442ffff, 0x2028024, 0x128940, 0x3c010001,
+0x310821, 0xac30723c, 0x3c024000, 0x2021024,
+0x10400048, 0x1023c2, 0x30840030, 0x101382,
+0x3042000c, 0x3c030001, 0x24635054, 0x431021,
+0x823821, 0x3c020020, 0x2021024, 0x10400006,
+0x24020100, 0x3c010001, 0x310821, 0xac227240,
+0x10000005, 0x3c020080, 0x3c010001, 0x310821,
+0xac207240, 0x3c020080, 0x2021024, 0x10400007,
+0x121940, 0x3c020001, 0x3c010001, 0x230821,
+0xac227248, 0x10000005, 0x0, 0x121140,
+0x3c010001, 0x220821, 0xac207248, 0x94e30000,
+0x32024000, 0x10400003, 0xa7a30018, 0x34624000,
+0xa7a20018, 0x24040001, 0x94e20002, 0x24050004,
+0x24e60002, 0x441025, 0xc0049fd, 0xa4e20002,
+0x24040001, 0x2821, 0xc0049fd, 0x27a60018,
+0x3c020001, 0x8c425028, 0x24110001, 0x3c010001,
+0xac315034, 0x14530004, 0x32028000, 0xc003f1c,
+0x0, 0x32028000, 0x1040009d, 0x0,
+0xc003f1c, 0x0, 0x24020002, 0x3c010001,
+0xac31502c, 0x3c010001, 0xac225028, 0x10000094,
+0x0, 0x24040001, 0x24050004, 0x27b0001a,
+0xc0049fd, 0x2003021, 0x24040001, 0x2821,
+0xc0049fd, 0x2003021, 0x3c020001, 0x511021,
+0x8c427234, 0x3c040001, 0x8c845028, 0x3c03bfff,
+0x3463ffff, 0x3c010001, 0xac335034, 0x431024,
+0x3c010001, 0x310821, 0xac227234, 0x1093007a,
+0x0, 0x1000007a, 0x0, 0x3c02ffec,
+0x3442ffff, 0x2028024, 0x3c020008, 0x2028025,
+0x121140, 0x3c010001, 0x220821, 0xac307238,
+0x3c022000, 0x2021024, 0x10400009, 0x0,
+0x3c020001, 0x8c4250b4, 0x14400005, 0x24020001,
+0x3c010001, 0xac225168, 0x10000004, 0x3c024000,
+0x3c010001, 0xac205168, 0x3c024000, 0x2021024,
+0x1440001a, 0x0, 0x3c020001, 0x8c425168,
+0x10400005, 0x24022020, 0x3c010001, 0xac22516c,
+0x24020001, 0xaee204b8, 0x3c04bfff, 0x121940,
+0x3c020001, 0x431021, 0x8c427230, 0x3c050001,
+0x8ca55028, 0x3484ffff, 0x441024, 0x3c010001,
+0x230821, 0xac227230, 0x24020001, 0x10a20048,
+0x0, 0x10000044, 0x0, 0x3c020001,
+0x8c425168, 0x1040001f, 0x24022000, 0x3c010001,
+0xac22516c, 0x3c0300a0, 0x2031024, 0x14430006,
+0x121140, 0x3402a000, 0x3c010001, 0xac22516c,
+0x10000030, 0x0, 0x3c030001, 0x621821,
+0x8c637238, 0x3c020020, 0x621024, 0x10400005,
+0x24022001, 0x3c010001, 0xac22516c, 0x10000025,
+0x0, 0x3c020080, 0x621024, 0x10400021,
+0x3402a001, 0x3c010001, 0xac22516c, 0x1000001d,
+0x0, 0x3c020020, 0x2021024, 0x10400007,
+0x121940, 0x24020100, 0x3c010001, 0x230821,
+0xac227244, 0x10000006, 0x3c020080, 0x121140,
+0x3c010001, 0x220821, 0xac207244, 0x3c020080,
+0x2021024, 0x10400007, 0x121940, 0x3c020001,
+0x3c010001, 0x230821, 0xac22724c, 0x10000005,
+0x0, 0x121140, 0x3c010001, 0x220821,
+0xac20724c, 0x3c030001, 0x8c635028, 0x24020001,
+0x10620003, 0x0, 0xc003f1c, 0x0,
+0x8fbf0030, 0x8fb3002c, 0x8fb20028, 0x8fb10024,
+0x8fb00020, 0x3e00008, 0x27bd0038, 0x27bdffc8,
+0xafbe002c, 0x80f021, 0xafb30024, 0x9821,
+0xafb50028, 0x260a821, 0xafb1001c, 0x2608821,
+0x24020002, 0xafbf0030, 0xafb20020, 0xafb00018,
+0xa7a00012, 0x10a2006b, 0xa7a00010, 0x2ca20003,
+0x10400005, 0x24020001, 0x10a2000a, 0x2201021,
+0x100000b1, 0x0, 0x24020004, 0x10a20061,
+0x24020008, 0x10a2005f, 0x2201021, 0x100000aa,
+0x0, 0x1e9140, 0x3c030001, 0x721821,
+0x8c63723c, 0x3c024000, 0x621024, 0x14400009,
+0x24040001, 0x3c027fff, 0x3442ffff, 0x628824,
+0x3c010001, 0x320821, 0xac317234, 0x1000009a,
+0x2201021, 0x802821, 0x27b00010, 0xc0049bb,
+0x2003021, 0x24040001, 0x802821, 0xc0049bb,
+0x2003021, 0x97a20010, 0x30420004, 0x10400035,
+0x3c114000, 0x3c030001, 0x8c635180, 0x24020003,
+0x10620008, 0x2c620004, 0x1440002a, 0x3c028000,
+0x24020004, 0x10620014, 0x3c028000, 0x10000026,
+0x2221025, 0x24040001, 0x24050011, 0x27b00012,
+0xc0049bb, 0x2003021, 0x24040001, 0x24050011,
+0xc0049bb, 0x2003021, 0x97a30012, 0x30624000,
+0x10400002, 0x3c150010, 0x3c150008, 0x3c130001,
+0x10000011, 0x30628000, 0x24040001, 0x24050014,
+0x27b00012, 0xc0049bb, 0x2003021, 0x24040001,
+0x24050014, 0xc0049bb, 0x2003021, 0x97a30012,
+0x30621000, 0x10400002, 0x3c150010, 0x3c150008,
+0x3c130001, 0x30620800, 0x54400001, 0x3c130002,
+0x3c028000, 0x2221025, 0x2751825, 0x10000007,
+0x438825, 0x3c110001, 0x2328821, 0x8e31723c,
+0x3c027fff, 0x3442ffff, 0x2228824, 0x1e1140,
+0x3c010001, 0x220821, 0xac317234, 0x1000004e,
+0x2201021, 0x1e2940, 0x3c030001, 0x651821,
+0x8c637238, 0x3c024000, 0x621024, 0x14400008,
+0x3c027fff, 0x3442ffff, 0x628824, 0x3c010001,
+0x250821, 0xac317230, 0x1000003f, 0x2201021,
+0x3c020001, 0x8c425038, 0x10400033, 0x3c11c00c,
+0x3c020001, 0x8c4250b4, 0x2202021, 0x34842000,
+0x3c030001, 0x8c635168, 0x2102b, 0x21023,
+0x441024, 0x10600003, 0x518825, 0x3c022000,
+0x2228825, 0x3c020001, 0x451021, 0x8c427244,
+0x10400003, 0x3c020020, 0x10000004, 0x2228825,
+0x3c02ffdf, 0x3442ffff, 0x2228824, 0x1e1140,
+0x3c010001, 0x220821, 0x8c22724c, 0x10400003,
+0x3c020080, 0x10000004, 0x2228825, 0x3c02ff7f,
+0x3442ffff, 0x2228824, 0x3c020001, 0x8c4250a0,
+0x10400002, 0x3c020800, 0x2228825, 0x3c020001,
+0x8c4250a4, 0x10400002, 0x3c020400, 0x2228825,
+0x3c020001, 0x8c4250a8, 0x10400006, 0x3c020100,
+0x10000004, 0x2228825, 0x3c027fff, 0x3442ffff,
+0x628824, 0x1e1140, 0x3c010001, 0x220821,
+0xac317230, 0x2201021, 0x8fbf0030, 0x8fbe002c,
+0x8fb50028, 0x8fb30024, 0x8fb20020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0038, 0x27bdffe0,
+0xafb20018, 0x809021, 0xafbf001c, 0xafb10014,
+0xafb00010, 0x8f840200, 0x3c030001, 0x8c635028,
+0x8f860220, 0x24020002, 0x106200a8, 0x2c620003,
+0x10400005, 0x24020001, 0x1062000a, 0x121940,
+0x100000a2, 0x0, 0x24020004, 0x10620054,
+0x24020008, 0x10620053, 0x128940, 0x1000009b,
+0x0, 0x3c050001, 0xa32821, 0x8ca5723c,
+0x3c100001, 0x2038021, 0x8e107234, 0x3c024000,
+0xa21024, 0x10400038, 0x3c020008, 0x2021024,
+0x10400020, 0x34840002, 0x3c020001, 0x431021,
+0x8c427240, 0x10400005, 0x34840020, 0x34840100,
+0x3c020020, 0x10000006, 0x2028025, 0x2402feff,
+0x822024, 0x3c02ffdf, 0x3442ffff, 0x2028024,
+0x121140, 0x3c010001, 0x220821, 0x8c227248,
+0x10400005, 0x3c020001, 0xc23025, 0x3c020080,
+0x10000016, 0x2028025, 0x3c02fffe, 0x3442ffff,
+0xc23024, 0x3c02ff7f, 0x3442ffff, 0x1000000f,
+0x2028024, 0x2402fedf, 0x822024, 0x3c02fffe,
+0x3442ffff, 0xc23024, 0x3c02ff5f, 0x3442ffff,
+0x2028024, 0x3c010001, 0x230821, 0xac207240,
+0x3c010001, 0x230821, 0xac207248, 0xaf840200,
+0xaf860220, 0x8f820220, 0x34420002, 0xaf820220,
+0x1000000a, 0x121140, 0x3c02bfff, 0x3442ffff,
+0x8f830200, 0x2028024, 0x2402fffd, 0x621824,
+0xc003f1c, 0xaf830200, 0x121140, 0x3c010001,
+0x220821, 0xac307234, 0x1000004c, 0x0,
+0x128940, 0x3c050001, 0xb12821, 0x8ca57238,
+0x3c100001, 0x2118021, 0x8e107230, 0x3c024000,
+0xa21024, 0x14400011, 0x0, 0x3c020001,
+0x8c425168, 0x14400005, 0x3c02bfff, 0x8f820200,
+0x34420002, 0xaf820200, 0x3c02bfff, 0x3442ffff,
+0xc003f1c, 0x2028024, 0x3c010001, 0x310821,
+0xac307230, 0x10000031, 0x0, 0x3c020001,
+0x8c425168, 0x10400005, 0x3c020020, 0x3c020001,
+0x8c4250b4, 0x10400025, 0x3c020020, 0xa21024,
+0x10400007, 0x34840020, 0x24020100, 0x3c010001,
+0x310821, 0xac227244, 0x10000006, 0x822025,
+0x3c010001, 0x310821, 0xac207244, 0x2402feff,
+0x822024, 0x3c020080, 0xa21024, 0x10400007,
+0x121940, 0x3c020001, 0x3c010001, 0x230821,
+0xac22724c, 0x10000008, 0xc23025, 0x121140,
+0x3c010001, 0x220821, 0xac20724c, 0x3c02fffe,
+0x3442ffff, 0xc23024, 0xaf840200, 0xaf860220,
+0x8f820220, 0x34420002, 0xaf820220, 0x121140,
+0x3c010001, 0x220821, 0xac307230, 0x8fbf001c,
+0x8fb20018, 0x8fb10014, 0x8fb00010, 0x3e00008,
+0x27bd0020, 0x0, 0x0, 0x1821,
+0x308400ff, 0x2405ffdf, 0x2406ffbf, 0x641007,
+0x30420001, 0x10400004, 0x0, 0x8f820044,
+0x10000003, 0x34420040, 0x8f820044, 0x461024,
+0xaf820044, 0x8f820044, 0x34420020, 0xaf820044,
+0x8f820044, 0x451024, 0xaf820044, 0x24630001,
+0x28620008, 0x1440ffee, 0x641007, 0x3e00008,
+0x0, 0x0, 0x0, 0x0 };
+static u_int32_t tigon2FwRodata[] = {
+0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6677, 0x6d61696e,
+0x2e632c76, 0x20312e31, 0x2e322e34, 0x35203139,
+0x39392f30, 0x312f3234, 0x2030303a, 0x31303a35,
+0x35207368, 0x75616e67, 0x20457870, 0x20240000,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x6261644d, 0x656d537a, 0x0, 0x2a50414e,
+0x49432a00, 0x66776d61, 0x696e2e63, 0x0,
+0x68774677, 0x56657200, 0x62616448, 0x77566572,
+0x0, 0x2a2a4441, 0x574e5f41, 0x0,
+0x74785278, 0x4266537a, 0x0, 0x62664174,
+0x6e4d726b, 0x0, 0x7265645a, 0x6f6e6531,
+0x0, 0x70636943, 0x6f6e6600, 0x67656e43,
+0x6f6e6600, 0x72636246, 0x6c616773, 0x0,
+0x62616452, 0x78526362, 0x0, 0x676c6f62,
+0x466c6773, 0x0, 0x2b5f6469, 0x73705f6c,
+0x6f6f7000, 0x2b65765f, 0x68616e64, 0x6c657200,
+0x63616e74, 0x31446d61, 0x0, 0x2b715f64,
+0x6d615f74, 0x6f5f6e69, 0x635f636b, 0x73756d00,
+0x2b685f73, 0x656e645f, 0x64617461, 0x5f726561,
+0x64795f63, 0x6b73756d, 0x0, 0x2b685f64,
+0x6d615f72, 0x645f6173, 0x73697374, 0x5f636b73,
+0x756d0000, 0x74436b73, 0x6d4f6e00, 0x2b715f64,
+0x6d615f74, 0x6f5f6e69, 0x63000000, 0x2b685f73,
+0x656e645f, 0x64617461, 0x5f726561, 0x64790000,
+0x2b685f64, 0x6d615f72, 0x645f6173, 0x73697374,
+0x0, 0x74436b73, 0x6d4f6666, 0x0,
+0x2b685f73, 0x656e645f, 0x62645f72, 0x65616479,
+0x0, 0x68737453, 0x52696e67, 0x0,
+0x62616453, 0x52696e67, 0x0, 0x6e696353,
+0x52696e67, 0x0, 0x77446d61, 0x416c6c41,
+0x0, 0x2b715f64, 0x6d615f74, 0x6f5f686f,
+0x73745f63, 0x6b73756d, 0x0, 0x2b685f6d,
+0x61635f72, 0x785f636f, 0x6d705f63, 0x6b73756d,
+0x0, 0x2b685f64, 0x6d615f77, 0x725f6173,
+0x73697374, 0x5f636b73, 0x756d0000, 0x72436b73,
+0x6d4f6e00, 0x2b715f64, 0x6d615f74, 0x6f5f686f,
+0x73740000, 0x2b685f6d, 0x61635f72, 0x785f636f,
+0x6d700000, 0x2b685f64, 0x6d615f77, 0x725f6173,
+0x73697374, 0x0, 0x72436b73, 0x6d4f6666,
+0x0, 0x2b685f72, 0x6563765f, 0x62645f72,
+0x65616479, 0x0, 0x2b685f72, 0x6563765f,
+0x6a756d62, 0x6f5f6264, 0x5f726561, 0x64790000,
+0x2b685f72, 0x6563765f, 0x6d696e69, 0x5f62645f,
+0x72656164, 0x79000000, 0x2b6d685f, 0x636f6d6d,
+0x616e6400, 0x2b685f74, 0x696d6572, 0x0,
+0x2b685f64, 0x6f5f7570, 0x64617465, 0x5f74785f,
+0x636f6e73, 0x0, 0x2b685f64, 0x6f5f7570,
+0x64617465, 0x5f72785f, 0x70726f64, 0x0,
+0x2b636b73, 0x756d3136, 0x0, 0x2b706565,
+0x6b5f6d61, 0x635f7278, 0x0, 0x2b646571,
+0x5f6d6163, 0x5f727800, 0x2b685f6d, 0x61635f72,
+0x785f6174, 0x746e0000, 0x62616452, 0x6574537a,
+0x0, 0x72784264, 0x4266537a, 0x0,
+0x2b6e756c, 0x6c5f6861, 0x6e646c65, 0x72000000,
+0x66774f70, 0x4661696c, 0x0, 0x2b685f75,
+0x70646174, 0x655f6c65, 0x64360000, 0x2b685f75,
+0x70646174, 0x655f6c65, 0x64320000, 0x696e7453,
+0x74617465, 0x0, 0x2a2a696e, 0x69744370,
+0x0, 0x23736372, 0x65616d00, 0x69537461,
+0x636b4572, 0x0, 0x70726f62, 0x654d656d,
+0x0, 0x2a2a4441, 0x574e5f42, 0x0,
+0x2b73775f, 0x646d615f, 0x61737369, 0x73745f70,
+0x6c75735f, 0x74696d65, 0x72000000, 0x2b267072,
+0x656c6f61, 0x645f7772, 0x5f646573, 0x63720000,
+0x2b267072, 0x656c6f61, 0x645f7264, 0x5f646573,
+0x63720000, 0x2b685f68, 0x665f7469, 0x6d657200,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f7469, 0x6d65722e,
+0x632c7620, 0x312e312e, 0x322e3335, 0x20313939,
+0x392f3031, 0x2f323720, 0x31393a30, 0x393a3530,
+0x20686179, 0x65732045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x2a50414e, 0x49432a00, 0x6d61632e, 0x68000000,
+0x74696d65, 0x722e6300, 0x542d446d, 0x61526432,
+0x0, 0x542d446d, 0x61526431, 0x0,
+0x542d446d, 0x61526442, 0x0, 0x542d446d,
+0x61577232, 0x0, 0x542d446d, 0x61577231,
+0x0, 0x542d446d, 0x61577242, 0x0,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f636f, 0x6d6d616e,
+0x642e632c, 0x7620312e, 0x312e322e, 0x32382031,
+0x3939392f, 0x30312f32, 0x30203139, 0x3a34393a,
+0x34392073, 0x6875616e, 0x67204578, 0x70202400,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x3f48636d, 0x644d6278, 0x0, 0x3f636d64,
+0x48737453, 0x0, 0x3f636d64, 0x4d634d64,
+0x0, 0x3f636d64, 0x50726f6d, 0x0,
+0x3f636d64, 0x4c696e6b, 0x0, 0x3f636d64,
+0x45727200, 0x852c, 0x8ca8, 0x8ca8,
+0x8c30, 0x89e0, 0x8c7c, 0x8ca8,
+0x8610, 0x8678, 0x87fc, 0x88cc,
+0x889c, 0x8ca8, 0x86e0, 0x898c,
+0x8ca8, 0x899c, 0x8634, 0x869c,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6d63, 0x6173742e,
+0x632c7620, 0x312e312e, 0x322e3820, 0x31393938,
+0x2f31322f, 0x30382030, 0x323a3336, 0x3a333620,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x6164644d, 0x63447570, 0x0, 0x6164644d,
+0x6346756c, 0x0, 0x64656c4d, 0x634e6f45,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f646d, 0x612e632c,
+0x7620312e, 0x312e322e, 0x32342031, 0x3939382f,
+0x31322f32, 0x31203030, 0x3a33333a, 0x30392073,
+0x6875616e, 0x67204578, 0x70202400, 0x65767452,
+0x6e674600, 0x51657674, 0x46000000, 0x51657674,
+0x505f4600, 0x4d657674, 0x526e6746, 0x0,
+0x4d516576, 0x74460000, 0x4d516576, 0x505f4600,
+0x5173436f, 0x6e495f46, 0x0, 0x5173436f,
+0x6e734600, 0x51725072, 0x6f644600, 0x7377446d,
+0x614f6666, 0x0, 0x31446d61, 0x4f6e0000,
+0x7377446d, 0x614f6e00, 0x2a50414e, 0x49432a00,
+0x646d612e, 0x63000000, 0x2372446d, 0x6141544e,
+0x0, 0x72446d61, 0x41544e30, 0x0,
+0x72446d61, 0x41544e31, 0x0, 0x72446d61,
+0x34476200, 0x2377446d, 0x6141544e, 0x0,
+0x77446d61, 0x41544e30, 0x0, 0x77446d61,
+0x41544e31, 0x0, 0x77446d61, 0x34476200,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f7472, 0x6163652e,
+0x632c7620, 0x312e312e, 0x322e3520, 0x31393938,
+0x2f30392f, 0x33302031, 0x383a3530, 0x3a323820,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6461, 0x74612e63,
+0x2c762031, 0x2e312e32, 0x2e313220, 0x31393939,
+0x2f30312f, 0x32302031, 0x393a3439, 0x3a353120,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x46575f56, 0x45525349, 0x4f4e3a20, 0x58585800,
+0x46575f43, 0x4f4d5049, 0x4c455f54, 0x494d453a,
+0x20585858, 0x0, 0x46575f43, 0x4f4d5049,
+0x4c455f42, 0x593a2058, 0x58580000, 0x46575f43,
+0x4f4d5049, 0x4c455f48, 0x4f53543a, 0x20585858,
+0x0, 0x46575f43, 0x4f4d5049, 0x4c455f44,
+0x4f4d4149, 0x4e3a2058, 0x58580000, 0x46575f43,
+0x4f4d5049, 0x4c45523a, 0x20585858, 0x0,
+0x0, 0x12030303, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6d65, 0x6d2e632c,
+0x7620312e, 0x312e322e, 0x35203139, 0x39382f30,
+0x392f3330, 0x2031383a, 0x35303a30, 0x38207368,
+0x75616e67, 0x20457870, 0x20240000, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f7365, 0x6e642e63,
+0x2c762031, 0x2e312e32, 0x2e343420, 0x31393938,
+0x2f31322f, 0x32312030, 0x303a3333, 0x3a313820,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x2a50414e, 0x49432a00, 0x6d61632e, 0x68000000,
+0x73656e64, 0x2e630000, 0x69736e74, 0x54637055,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f7265, 0x63762e63,
+0x2c762031, 0x2e312e32, 0x2e353320, 0x31393939,
+0x2f30312f, 0x31362030, 0x323a3535, 0x3a343320,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x2a50414e, 0x49432a00, 0x6d61632e, 0x68000000,
+0x72784672, 0x6d324c67, 0x0, 0x72784e6f,
+0x53744264, 0x0, 0x72784e6f, 0x4d694264,
+0x0, 0x72784e6f, 0x4a6d4264, 0x0,
+0x72656376, 0x2e630000, 0x7278436b, 0x446d6146,
+0x0, 0x72785144, 0x6d457846, 0x0,
+0x72785144, 0x6d614600, 0x72785144, 0x4c426446,
+0x0, 0x72785144, 0x6d426446, 0x0,
+0x72784372, 0x63506164, 0x0, 0x72536d51,
+0x446d6146, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6d61, 0x632e632c,
+0x7620312e, 0x312e322e, 0x32322031, 0x3939382f,
+0x31322f30, 0x38203032, 0x3a33363a, 0x33302073,
+0x6875616e, 0x67204578, 0x70202400, 0x65767452,
+0x6e674600, 0x51657674, 0x46000000, 0x51657674,
+0x505f4600, 0x4d657674, 0x526e6746, 0x0,
+0x4d516576, 0x74460000, 0x4d516576, 0x505f4600,
+0x5173436f, 0x6e495f46, 0x0, 0x5173436f,
+0x6e734600, 0x51725072, 0x6f644600, 0x2a50414e,
+0x49432a00, 0x6d61632e, 0x68000000, 0x6d616354,
+0x68726573, 0x0, 0x23744d61, 0x6341544e,
+0x0, 0x23724d61, 0x6341544e, 0x0,
+0x72656d41, 0x73737274, 0x0, 0x6d61632e,
+0x63000000, 0x6c696e6b, 0x444f574e, 0x0,
+0x6c696e6b, 0x55500000, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f636b, 0x73756d2e,
+0x632c7620, 0x312e312e, 0x322e3920, 0x31393939,
+0x2f30312f, 0x31342030, 0x303a3033, 0x3a343820,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x2a50414e, 0x49432a00, 0x6d61632e, 0x68000000,
+0x0, 0x0, 0x0, 0x2a50414e,
+0x49432a00, 0x2e2e2f63, 0x6f6d6d6f, 0x6e2f6d61,
+0x632e6800, 0x2e2e2f2e, 0x2e2f2e2e, 0x2f636f6d,
+0x6d6f6e2f, 0x6c696e6b, 0x2e630000, 0x50726f62,
+0x65506879, 0x0, 0x6c6e6b41, 0x53535254,
+0x0, 0x10e48, 0x10e78, 0x10e90,
+0x10ebc, 0x10f34, 0x10f48, 0x10f84,
+0x11330, 0x11104, 0x11140, 0x11034,
+0x11194, 0x111bc, 0x111f4, 0x11078,
+0x11330, 0x11104, 0x11140, 0x11164,
+0x11194, 0x111bc, 0x111f4, 0x11220,
+0x0, 0x0, 0x0, 0x2a50414e,
+0x49432a00, 0x2e2e2f63, 0x6f6d6d6f, 0x6e2f6d61,
+0x632e6800, 0x11820, 0x118f0, 0x119c8,
+0x11a98, 0x11afc, 0x11bd8, 0x11c00,
+0x11cdc, 0x11d04, 0x11eac, 0x11ed4,
+0x1207c, 0x12274, 0x1250c, 0x1241c,
+0x1250c, 0x12538, 0x120a4, 0x1224c,
+0x0, 0x0, 0x0, 0x2a50414e,
+0x49432a00, 0x2e2e2f63, 0x6f6d6d6f, 0x6e2f6d61,
+0x632e6800, 0x12a38, 0x12a64, 0x12adc,
+0x12b1c, 0x12b7c, 0x12c04, 0x12c30,
+0x12ca4, 0x12d1c, 0x12e0c, 0x12e3c,
+0x12eac, 0x12ed0, 0x12fdc, 0x646f4261,
+0x73655067, 0x0, 0x0, 0x2a50414e,
+0x49432a00, 0x2e2e2f63, 0x6f6d6d6f, 0x6e2f6d61,
+0x632e6800, 0x73746d61, 0x634c4e4b, 0x0,
+0x0, 0x0, 0x0, 0x0 };
+static u_int32_t tigon2FwData[] = {
+0x1,
+0x1, 0x1, 0xc001fc, 0x3ffc,
+0xc00000, 0x416c7465, 0x6f6e2041, 0x63654e49,
+0x43205600, 0x0, 0x0, 0x416c7465,
+0x6f6e2041, 0x63654e49, 0x43205600, 0x42424242,
+0x0, 0x0, 0x0, 0x1ffffc,
+0x1fff7c, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x60cf00,
+0x60, 0xcf000000, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x3, 0x0,
+0x1, 0x0, 0x0, 0x1,
+0x0, 0x0, 0x0, 0x1,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x1000000, 0x21000000, 0x12000140,
+0x0, 0x0, 0x20000000, 0x120000a0,
+0x0, 0x12000060, 0x12000180, 0x120001e0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x2,
+0x0, 0x0, 0x30001, 0x1,
+0x30201, 0x0, 0x0, 0x0 };
diff --git a/sys/i386/conf/LINT b/sys/i386/conf/LINT
index 1d71f87..bcfde88 100644
--- a/sys/i386/conf/LINT
+++ b/sys/i386/conf/LINT
@@ -2,7 +2,7 @@
# LINT -- config file for checking all the sources, tries to pull in
# as much of the source tree as it can.
#
-# $Id: LINT,v 1.573 1999/03/29 17:56:27 ken Exp $
+# $Id: LINT,v 1.574 1999/03/31 15:23:31 eivind Exp $
#
# NB: You probably don't want to try running a kernel built from this
# file. Instead, you should start from GENERIC, and add options from
@@ -1560,6 +1560,12 @@ options "EISA_SLOTS=12"
# the MPX 5030/5038, which is either a RealTek in disguise or a RealTek
# workalike.
#
+# The 'ti' device provides support for PCI gigabit ethernet NICs based
+# on the Alteon Networks Tigon 1 and Tigon 2 chipsets. This includes the
+# Alteon AceNIC, the 3Com 3c985, the Netgear GA620 and various others.
+# Note that you will probably want to bump up NBMCLUSTERS a lot to use
+# this driver.
+#
# The 'tl' device provides support for the Texas Instruments TNETE100
# series 'ThunderLAN' cards and integrated ethernet controllers. This
# includes several Compaq Netelligent 10/100 cards and the built-in
@@ -1670,6 +1676,7 @@ device fxp0
device mx0
device pn0
device rl0
+device ti0
device tl0
device tx0
device vr0
diff --git a/sys/i386/conf/NOTES b/sys/i386/conf/NOTES
index 1d71f87..bcfde88 100644
--- a/sys/i386/conf/NOTES
+++ b/sys/i386/conf/NOTES
@@ -2,7 +2,7 @@
# LINT -- config file for checking all the sources, tries to pull in
# as much of the source tree as it can.
#
-# $Id: LINT,v 1.573 1999/03/29 17:56:27 ken Exp $
+# $Id: LINT,v 1.574 1999/03/31 15:23:31 eivind Exp $
#
# NB: You probably don't want to try running a kernel built from this
# file. Instead, you should start from GENERIC, and add options from
@@ -1560,6 +1560,12 @@ options "EISA_SLOTS=12"
# the MPX 5030/5038, which is either a RealTek in disguise or a RealTek
# workalike.
#
+# The 'ti' device provides support for PCI gigabit ethernet NICs based
+# on the Alteon Networks Tigon 1 and Tigon 2 chipsets. This includes the
+# Alteon AceNIC, the 3Com 3c985, the Netgear GA620 and various others.
+# Note that you will probably want to bump up NBMCLUSTERS a lot to use
+# this driver.
+#
# The 'tl' device provides support for the Texas Instruments TNETE100
# series 'ThunderLAN' cards and integrated ethernet controllers. This
# includes several Compaq Netelligent 10/100 cards and the built-in
@@ -1670,6 +1676,7 @@ device fxp0
device mx0
device pn0
device rl0
+device ti0
device tl0
device tx0
device vr0
diff --git a/sys/i386/i386/userconfig.c b/sys/i386/i386/userconfig.c
index dfee363..5c557a7 100644
--- a/sys/i386/i386/userconfig.c
+++ b/sys/i386/i386/userconfig.c
@@ -46,7 +46,7 @@
** (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
** THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
**
- ** $Id: userconfig.c,v 1.131 1999/02/10 02:37:24 des Exp $
+ ** $Id: userconfig.c,v 1.132 1999/02/21 16:33:51 n_hibma Exp $
**/
/**
@@ -376,6 +376,7 @@ static DEV_INFO device_info[] = {
{"ix", "Intel EtherExpress Ethernet adapter", 0, CLS_NETWORK},
{"le", "DEC Etherworks 2 and 3 Ethernet adapters", 0, CLS_NETWORK},
{"lnc", "Isolan, Novell NE2100/NE32-VL Ethernet adapters", 0,CLS_NETWORK},
+{"ti", "Alteon Networks Tigon gigabit Ethernet adapters", 0,CLS_NETWORK},
{"tl", "Texas Instruments ThunderLAN Ethernet adapters", 0,CLS_NETWORK},
{"tx", "SMC 9432TX Ethernet adapters", 0, CLS_NETWORK},
{"vx", "3COM 3C590/3C595 Ethernet adapters", 0, CLS_NETWORK},
@@ -2509,7 +2510,7 @@ visuserconfig(void)
* OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
* SUCH DAMAGE.
*
- * $Id: userconfig.c,v 1.131 1999/02/10 02:37:24 des Exp $
+ * $Id: userconfig.c,v 1.132 1999/02/21 16:33:51 n_hibma Exp $
*/
#include "scbus.h"
diff --git a/sys/pci/if_ti.c b/sys/pci/if_ti.c
new file mode 100644
index 0000000..33900df
--- /dev/null
+++ b/sys/pci/if_ti.c
@@ -0,0 +1,2486 @@
+/*
+ * Copyright (c) 1997, 1998, 1999
+ * Bill Paul <wpaul@ctr.columbia.edu>. All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without
+ * modification, are permitted provided that the following conditions
+ * are met:
+ * 1. Redistributions of source code must retain the above copyright
+ * notice, this list of conditions and the following disclaimer.
+ * 2. Redistributions in binary form must reproduce the above copyright
+ * notice, this list of conditions and the following disclaimer in the
+ * documentation and/or other materials provided with the distribution.
+ * 3. All advertising materials mentioning features or use of this software
+ * must display the following acknowledgement:
+ * This product includes software developed by Bill Paul.
+ * 4. Neither the name of the author nor the names of any co-contributors
+ * may be used to endorse or promote products derived from this software
+ * without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY Bill Paul AND CONTRIBUTORS ``AS IS'' AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
+ * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
+ * ARE DISCLAIMED. IN NO EVENT SHALL Bill Paul OR THE VOICES IN HIS HEAD
+ * BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+ * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
+ * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
+ * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
+ * CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
+ * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
+ * THE POSSIBILITY OF SUCH DAMAGE.
+ *
+ * $Id: if_ti.c,v 1.106 1999/04/06 15:55:01 wpaul Exp $
+ */
+
+/*
+ * Alteon Networks Tigon PCI gigabit ethernet driver for FreeBSD.
+ * Manuals, sample driver and firmware source kits are available
+ * from http://www.alteon.com/support/openkits.
+ *
+ * Written by Bill Paul <wpaul@ctr.columbia.edu>
+ * Electrical Engineering Department
+ * Columbia University, New York City
+ */
+
+/*
+ * The Alteon Networks Tigon chip contains an embedded R4000 CPU,
+ * gigabit MAC, dual DMA channels and a PCI interface unit. NICs
+ * using the Tigon may have anywhere from 512K to 2MB of SRAM. The
+ * Tigon supports hardware IP, TCP and UCP checksumming, multicast
+ * filtering and jumbo (9014 byte) frames. The hardware is largely
+ * controlled by firmware, which must be loaded into the NIC during
+ * initialization.
+ *
+ * The Tigon 2 contains 2 R4000 CPUs and requires a newer firmware
+ * revision, which supports new features such as extended commands,
+ * extended jumbo receive ring desciptors and a mini receive ring.
+ *
+ * Alteon Networks is to be commended for releasing such a vast amount
+ * of development material for the Tigon NIC without requiring an NDA
+ * (although they really should have done it a long time ago). With
+ * any luck, the other vendors will finally wise up and follow Alteon's
+ * stellar example.
+ *
+ * The firmware for the Tigon 1 and 2 NICs is compiled directly into
+ * this driver by #including it as a C header file. This bloats the
+ * driver somewhat, but it's the easiest method considering that the
+ * driver code and firmware code need to be kept in sync. The source
+ * for the firmware is not provided with the FreeBSD distribution since
+ * compiling it requires a GNU toolchain targeted for mips-sgi-irix5.3.
+ *
+ * The following people deserve special thanks:
+ * - Terry Murphy of 3Com, for providing a 3c985 Tigon 1 board
+ * for testing
+ * - Raymond Lee of Netgear, for providing a pair of Netgear
+ * GA620 Tigon 2 boards for testing
+ * - Ulf Zimmermann, for bringing the GA260 to my attention and
+ * convincing me to write this driver.
+ * - Andrew Gallatin for providing FreeBSD/Alpha support.
+ */
+
+#include "bpfilter.h"
+#include "vlan.h"
+
+#include <sys/param.h>
+#include <sys/systm.h>
+#include <sys/sockio.h>
+#include <sys/mbuf.h>
+#include <sys/malloc.h>
+#include <sys/kernel.h>
+#include <sys/socket.h>
+#include <sys/queue.h>
+
+#include <net/if.h>
+#include <net/if_arp.h>
+#include <net/ethernet.h>
+#include <net/if_dl.h>
+#include <net/if_media.h>
+
+#if NBPFILTER > 0
+#include <net/bpf.h>
+#endif
+
+#if NVLAN > 0
+#include <net/if_types.h>
+#include <net/if_vlan_var.h>
+#endif
+
+#include <netinet/in_systm.h>
+#include <netinet/in.h>
+#include <netinet/ip.h>
+
+#include <vm/vm.h> /* for vtophys */
+#include <vm/pmap.h> /* for vtophys */
+#include <machine/clock.h> /* for DELAY */
+#include <machine/bus_memio.h>
+#include <machine/bus.h>
+
+#include <pci/pcireg.h>
+#include <pci/pcivar.h>
+
+#include <pci/if_tireg.h>
+#include <pci/ti_fw.h>
+#include <pci/ti_fw2.h>
+
+#ifdef M_HWCKSUM
+/*#define TI_CSUM_OFFLOAD*/
+#endif
+
+#if !defined(lint)
+static const char rcsid[] =
+ "$Id: if_ti.c,v 1.106 1999/04/06 15:55:01 wpaul Exp $";
+#endif
+
+/*
+ * Various supported device vendors/types and their names.
+ */
+
+static struct ti_type ti_devs[] = {
+ { ALT_VENDORID, ALT_DEVICEID_ACENIC,
+ "Alteon AceNIC Gigabit Ethernet" },
+ { TC_VENDORID, TC_DEVICEID_3C985,
+ "3Com 3c985-SX Gigabit Ethernet" },
+ { NG_VENDORID, NG_DEVICEID_GA620,
+ "Netgear GA620 Gigabit Ethernet" },
+ { SGI_VENDORID, SGI_DEVICEID_TIGON,
+ "Silicon Graphics Gigabit Ethernet" },
+ { 0, 0, NULL }
+};
+
+static unsigned long ti_count;
+
+static const char *ti_probe __P((pcici_t, pcidi_t));
+static void ti_attach __P((pcici_t, int));
+static void ti_txeof __P((struct ti_softc *));
+static void ti_rxeof __P((struct ti_softc *));
+
+static void ti_stats_update __P((struct ti_softc *));
+static int ti_encap __P((struct ti_softc *, struct mbuf *,
+ u_int32_t *));
+
+static void ti_intr __P((void *));
+static void ti_start __P((struct ifnet *));
+static int ti_ioctl __P((struct ifnet *, u_long, caddr_t));
+static void ti_init __P((void *));
+static void ti_init2 __P((struct ti_softc *));
+static void ti_stop __P((struct ti_softc *));
+static void ti_watchdog __P((struct ifnet *));
+static void ti_shutdown __P((int, void *));
+static int ti_ifmedia_upd __P((struct ifnet *));
+static void ti_ifmedia_sts __P((struct ifnet *, struct ifmediareq *));
+
+static u_int32_t ti_eeprom_putbyte __P((struct ti_softc *, int));
+static u_int8_t ti_eeprom_getbyte __P((struct ti_softc *,
+ int, u_int8_t *));
+static int ti_read_eeprom __P((struct ti_softc *, caddr_t, int, int));
+
+static void ti_add_mcast __P((struct ti_softc *, struct ether_addr *));
+static void ti_del_mcast __P((struct ti_softc *, struct ether_addr *));
+static void ti_setmulti __P((struct ti_softc *));
+
+static void ti_mem __P((struct ti_softc *, u_int32_t,
+ u_int32_t, caddr_t));
+static void ti_loadfw __P((struct ti_softc *));
+static void ti_cmd __P((struct ti_softc *, struct ti_cmd_desc *));
+static void ti_cmd_ext __P((struct ti_softc *, struct ti_cmd_desc *,
+ caddr_t, int));
+static void ti_handle_events __P((struct ti_softc *));
+static int ti_alloc_jumbo_mem __P((struct ti_softc *));
+static void *ti_jalloc __P((struct ti_softc *));
+static void ti_jfree __P((caddr_t, u_int));
+static void ti_jref __P((caddr_t, u_int));
+static int ti_newbuf_std __P((struct ti_softc *, int, struct mbuf *));
+static int ti_newbuf_mini __P((struct ti_softc *, int, struct mbuf *));
+static int ti_newbuf_jumbo __P((struct ti_softc *, int, struct mbuf *));
+static int ti_init_rx_ring_std __P((struct ti_softc *));
+static void ti_free_rx_ring_std __P((struct ti_softc *));
+static int ti_init_rx_ring_jumbo __P((struct ti_softc *));
+static void ti_free_rx_ring_jumbo __P((struct ti_softc *));
+static int ti_init_rx_ring_mini __P((struct ti_softc *));
+static void ti_free_rx_ring_mini __P((struct ti_softc *));
+static void ti_refill_rx_rings __P((struct ti_softc *));
+static void ti_free_tx_ring __P((struct ti_softc *));
+static int ti_init_tx_ring __P((struct ti_softc *));
+
+static int ti_64bitslot_war __P((struct ti_softc *));
+static int ti_chipinit __P((struct ti_softc *));
+static int ti_gibinit __P((struct ti_softc *));
+
+/*
+ * Send an instruction or address to the EEPROM, check for ACK.
+ */
+static u_int32_t ti_eeprom_putbyte(sc, byte)
+ struct ti_softc *sc;
+ int byte;
+{
+ register int i, ack = 0;
+
+ /*
+ * Make sure we're in TX mode.
+ */
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN);
+
+ /*
+ * Feed in each bit and stobe the clock.
+ */
+ for (i = 0x80; i; i >>= 1) {
+ if (byte & i) {
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT);
+ } else {
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT);
+ }
+ DELAY(1);
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ DELAY(1);
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ }
+
+ /*
+ * Turn off TX mode.
+ */
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN);
+
+ /*
+ * Check for ack.
+ */
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ ack = CSR_READ_4(sc, TI_MISC_LOCAL_CTL) & TI_MLC_EE_DIN;
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+
+ return(ack);
+}
+
+/*
+ * Read a byte of data stored in the EEPROM at address 'addr.'
+ * We have to send two address bytes since the EEPROM can hold
+ * more than 256 bytes of data.
+ */
+static u_int8_t ti_eeprom_getbyte(sc, addr, dest)
+ struct ti_softc *sc;
+ int addr;
+ u_int8_t *dest;
+{
+ register int i;
+ u_int8_t byte = 0;
+
+ EEPROM_START;
+
+ /*
+ * Send write control code to EEPROM.
+ */
+ if (ti_eeprom_putbyte(sc, EEPROM_CTL_WRITE)) {
+ printf("ti%d: failed to send write command, status: %x\n",
+ sc->ti_unit, CSR_READ_4(sc, TI_MISC_LOCAL_CTL));
+ return(1);
+ }
+
+ /*
+ * Send first byte of address of byte we want to read.
+ */
+ if (ti_eeprom_putbyte(sc, (addr >> 8) & 0xFF)) {
+ printf("ti%d: failed to send address, status: %x\n",
+ sc->ti_unit, CSR_READ_4(sc, TI_MISC_LOCAL_CTL));
+ return(1);
+ }
+ /*
+ * Send second byte address of byte we want to read.
+ */
+ if (ti_eeprom_putbyte(sc, addr & 0xFF)) {
+ printf("ti%d: failed to send address, status: %x\n",
+ sc->ti_unit, CSR_READ_4(sc, TI_MISC_LOCAL_CTL));
+ return(1);
+ }
+
+ EEPROM_STOP;
+ EEPROM_START;
+ /*
+ * Send read control code to EEPROM.
+ */
+ if (ti_eeprom_putbyte(sc, EEPROM_CTL_READ)) {
+ printf("ti%d: failed to send read command, status: %x\n",
+ sc->ti_unit, CSR_READ_4(sc, TI_MISC_LOCAL_CTL));
+ return(1);
+ }
+
+ /*
+ * Start reading bits from EEPROM.
+ */
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN);
+ for (i = 0x80; i; i >>= 1) {
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ DELAY(1);
+ if (CSR_READ_4(sc, TI_MISC_LOCAL_CTL) & TI_MLC_EE_DIN)
+ byte |= i;
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK);
+ DELAY(1);
+ }
+
+ EEPROM_STOP;
+
+ /*
+ * No ACK generated for read, so just return byte.
+ */
+
+ *dest = byte;
+
+ return(0);
+}
+
+/*
+ * Read a sequence of bytes from the EEPROM.
+ */
+static int ti_read_eeprom(sc, dest, off, cnt)
+ struct ti_softc *sc;
+ caddr_t dest;
+ int off;
+ int cnt;
+{
+ int err = 0, i;
+ u_int8_t byte = 0;
+
+ for (i = 0; i < cnt; i++) {
+ err = ti_eeprom_getbyte(sc, off + i, &byte);
+ if (err)
+ break;
+ *(dest + i) = byte;
+ }
+
+ return(err ? 1 : 0);
+}
+
+/*
+ * NIC memory access function. Can be used to either clear a section
+ * of NIC local memory or (if buf is non-NULL) copy data into it.
+ */
+static void ti_mem(sc, addr, len, buf)
+ struct ti_softc *sc;
+ u_int32_t addr, len;
+ caddr_t buf;
+{
+ int segptr, segsize, cnt;
+ caddr_t ti_winbase, ptr;
+
+ segptr = addr;
+ cnt = len;
+#ifdef __i386__
+ ti_winbase = (caddr_t)(sc->ti_bhandle + TI_WINDOW);
+#endif
+#ifdef __alpha__
+ ti_winbase = (caddr_t)(sc->ti_vhandle + TI_WINDOW);
+#endif
+ ptr = buf;
+
+ while(cnt) {
+ if (cnt < TI_WINLEN)
+ segsize = cnt;
+ else
+ segsize = TI_WINLEN - (segptr % TI_WINLEN);
+ CSR_WRITE_4(sc, TI_WINBASE, (segptr & ~(TI_WINLEN - 1)));
+ if (buf == NULL)
+ bzero((char *)ti_winbase + (segptr &
+ (TI_WINLEN - 1)), segsize);
+ else {
+ bcopy((char *)ptr, (char *)ti_winbase +
+ (segptr & (TI_WINLEN - 1)), segsize);
+ ptr += segsize;
+ }
+ segptr += segsize;
+ cnt -= segsize;
+ }
+
+ return;
+}
+
+/*
+ * Load firmware image into the NIC. Check that the firmware revision
+ * is acceptable and see if we want the firmware for the Tigon 1 or
+ * Tigon 2.
+ */
+static void ti_loadfw(sc)
+ struct ti_softc *sc;
+{
+ switch(sc->ti_hwrev) {
+ case TI_HWREV_TIGON:
+ if (tigonFwReleaseMajor != TI_FIRMWARE_MAJOR ||
+ tigonFwReleaseMinor != TI_FIRMWARE_MINOR ||
+ tigonFwReleaseFix != TI_FIRMWARE_FIX) {
+ printf("ti%d: firmware revision mismatch; want "
+ "%d.%d.%d, got %d.%d.%d\n", sc->ti_unit,
+ TI_FIRMWARE_MAJOR, TI_FIRMWARE_MINOR,
+ TI_FIRMWARE_FIX, tigonFwReleaseMajor,
+ tigonFwReleaseMinor, tigonFwReleaseFix);
+ return;
+ }
+ ti_mem(sc, tigonFwTextAddr, tigonFwTextLen,
+ (caddr_t)tigonFwText);
+ ti_mem(sc, tigonFwDataAddr, tigonFwDataLen,
+ (caddr_t)tigonFwData);
+ ti_mem(sc, tigonFwRodataAddr, tigonFwRodataLen,
+ (caddr_t)tigonFwRodata);
+ ti_mem(sc, tigonFwBssAddr, tigonFwBssLen, NULL);
+ ti_mem(sc, tigonFwSbssAddr, tigonFwSbssLen, NULL);
+ CSR_WRITE_4(sc, TI_CPU_PROGRAM_COUNTER, tigonFwStartAddr);
+ break;
+ case TI_HWREV_TIGON_II:
+ if (tigon2FwReleaseMajor != TI_FIRMWARE_MAJOR ||
+ tigon2FwReleaseMinor != TI_FIRMWARE_MINOR ||
+ tigon2FwReleaseFix != TI_FIRMWARE_FIX) {
+ printf("ti%d: firmware revision mismatch; want "
+ "%d.%d.%d, got %d.%d.%d\n", sc->ti_unit,
+ TI_FIRMWARE_MAJOR, TI_FIRMWARE_MINOR,
+ TI_FIRMWARE_FIX, tigon2FwReleaseMajor,
+ tigon2FwReleaseMinor, tigon2FwReleaseFix);
+ return;
+ }
+ ti_mem(sc, tigon2FwTextAddr, tigon2FwTextLen,
+ (caddr_t)tigon2FwText);
+ ti_mem(sc, tigon2FwDataAddr, tigon2FwDataLen,
+ (caddr_t)tigon2FwData);
+ ti_mem(sc, tigon2FwRodataAddr, tigon2FwRodataLen,
+ (caddr_t)tigon2FwRodata);
+ ti_mem(sc, tigon2FwBssAddr, tigon2FwBssLen, NULL);
+ ti_mem(sc, tigon2FwSbssAddr, tigon2FwSbssLen, NULL);
+ CSR_WRITE_4(sc, TI_CPU_PROGRAM_COUNTER, tigon2FwStartAddr);
+ break;
+ default:
+ printf("ti%d: can't load firmware: unknown hardware rev\n",
+ sc->ti_unit);
+ break;
+ }
+
+ return;
+}
+
+/*
+ * Send the NIC a command via the command ring.
+ */
+static void ti_cmd(sc, cmd)
+ struct ti_softc *sc;
+ struct ti_cmd_desc *cmd;
+{
+ u_int32_t index;
+
+ if (sc->ti_rdata->ti_cmd_ring == NULL)
+ return;
+
+ index = sc->ti_cmd_saved_prodidx;
+ CSR_WRITE_4(sc, TI_GCR_CMDRING + (index * 4), *(u_int32_t *)(cmd));
+ TI_INC(index, TI_CMD_RING_CNT);
+ CSR_WRITE_4(sc, TI_MB_CMDPROD_IDX, index);
+ sc->ti_cmd_saved_prodidx = index;
+
+ return;
+}
+
+/*
+ * Send the NIC an extended command. The 'len' parameter specifies the
+ * number of command slots to include after the initial command.
+ */
+static void ti_cmd_ext(sc, cmd, arg, len)
+ struct ti_softc *sc;
+ struct ti_cmd_desc *cmd;
+ caddr_t arg;
+ int len;
+{
+ u_int32_t index;
+ register int i;
+
+ if (sc->ti_rdata->ti_cmd_ring == NULL)
+ return;
+
+ index = sc->ti_cmd_saved_prodidx;
+ CSR_WRITE_4(sc, TI_GCR_CMDRING + (index * 4), *(u_int32_t *)(cmd));
+ TI_INC(index, TI_CMD_RING_CNT);
+ for (i = 0; i < len; i++) {
+ CSR_WRITE_4(sc, TI_GCR_CMDRING + (index * 4),
+ *(u_int32_t *)(&arg[i * 4]));
+ TI_INC(index, TI_CMD_RING_CNT);
+ }
+ CSR_WRITE_4(sc, TI_MB_CMDPROD_IDX, index);
+ sc->ti_cmd_saved_prodidx = index;
+
+ return;
+}
+
+/*
+ * Handle events that have triggered interrupts.
+ */
+static void ti_handle_events(sc)
+ struct ti_softc *sc;
+{
+ struct ti_event_desc *e;
+
+ if (sc->ti_rdata->ti_event_ring == NULL)
+ return;
+
+ while (sc->ti_ev_saved_considx != sc->ti_ev_prodidx.ti_idx) {
+ e = &sc->ti_rdata->ti_event_ring[sc->ti_ev_saved_considx];
+ switch(e->ti_event) {
+ case TI_EV_LINKSTAT_CHANGED:
+ sc->ti_linkstat = e->ti_code;
+ if (e->ti_code == TI_EV_CODE_LINK_UP)
+ printf("ti%d: 10/100 link up\n", sc->ti_unit);
+ else if (e->ti_code == TI_EV_CODE_GIG_LINK_UP)
+ printf("ti%d: gigabit link up\n", sc->ti_unit);
+ else if (e->ti_code == TI_EV_CODE_LINK_DOWN)
+ printf("ti%d: link down\n", sc->ti_unit);
+ break;
+ case TI_EV_ERROR:
+ if (e->ti_code == TI_EV_CODE_ERR_INVAL_CMD)
+ printf("ti%d: invalid command\n", sc->ti_unit);
+ else if (e->ti_code == TI_EV_CODE_ERR_UNIMP_CMD)
+ printf("ti%d: unknown command\n", sc->ti_unit);
+ else if (e->ti_code == TI_EV_CODE_ERR_BADCFG)
+ printf("ti%d: bad config data\n", sc->ti_unit);
+ break;
+ case TI_EV_FIRMWARE_UP:
+ ti_init2(sc);
+ break;
+ case TI_EV_STATS_UPDATED:
+ ti_stats_update(sc);
+ break;
+ case TI_EV_RESET_JUMBO_RING:
+ case TI_EV_MCAST_UPDATED:
+ /* Who cares. */
+ break;
+ default:
+ printf("ti%d: unknown event: %d\n",
+ sc->ti_unit, e->ti_event);
+ break;
+ }
+ /* Advance the consumer index. */
+ TI_INC(sc->ti_ev_saved_considx, TI_EVENT_RING_CNT);
+ CSR_WRITE_4(sc, TI_GCR_EVENTCONS_IDX, sc->ti_ev_saved_considx);
+ }
+
+ return;
+}
+
+/*
+ * Memory management for the jumbo receive ring is a pain in the
+ * butt. We need to allocate at least 9018 bytes of space per frame,
+ * _and_ it has to be contiguous (unless you use the extended
+ * jumbo descriptor format). Using malloc() all the time won't
+ * work: malloc() allocates memory in powers of two, which means we
+ * would end up wasting a considerable amount of space by allocating
+ * 9K chunks. We don't have a jumbo mbuf cluster pool. Thus, we have
+ * to do our own memory management.
+ *
+ * The driver needs to allocate a contiguous chunk of memory at boot
+ * time. We then chop this up ourselves into 9K pieces and use them
+ * as external mbuf storage.
+ *
+ * One issue here is how much memory to allocate. The jumbo ring has
+ * 256 slots in it, but at 9K per slot than can consume over 2MB of
+ * RAM. This is a bit much, especially considering we also need
+ * RAM for the standard ring and mini ring (on the Tigon 2). To
+ * save space, we only actually allocate enough memory for 64 slots
+ * by default, which works out to between 500 and 600K. This can
+ * be tuned by changing a #define in if_tireg.h.
+ */
+
+static int ti_alloc_jumbo_mem(sc)
+ struct ti_softc *sc;
+{
+ caddr_t ptr;
+ register int i;
+ struct ti_jpool_entry *entry;
+
+ /* Grab a big chunk o' storage. */
+ sc->ti_cdata.ti_jumbo_buf = contigmalloc(TI_JMEM, M_DEVBUF,
+ M_NOWAIT, 0x100000, 0xffffffff, PAGE_SIZE, 0);
+
+ if (sc->ti_cdata.ti_jumbo_buf == NULL) {
+ printf("ti%d: no memory for jumbo buffers!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+
+ SLIST_INIT(&sc->ti_jfree_listhead);
+ SLIST_INIT(&sc->ti_jinuse_listhead);
+
+ /*
+ * Now divide it up into 9K pieces and save the addresses
+ * in an array. Note that we play an evil trick here by using
+ * the first few bytes in the buffer to hold the the address
+ * of the softc structure for this interface. This is because
+ * ti_jfree() needs it, but it is called by the mbuf management
+ * code which will not pass it to us explicitly.
+ */
+ ptr = sc->ti_cdata.ti_jumbo_buf;
+ for (i = 0; i < TI_JSLOTS; i++) {
+ u_int64_t **aptr;
+ aptr = (u_int64_t **)ptr;
+ aptr[0] = (u_int64_t *)sc;
+ ptr += sizeof(u_int64_t);
+ sc->ti_cdata.ti_jslots[i].ti_buf = ptr;
+ sc->ti_cdata.ti_jslots[i].ti_inuse = 0;
+ ptr += (TI_JLEN - sizeof(u_int64_t));
+ entry = malloc(sizeof(struct ti_jpool_entry),
+ M_DEVBUF, M_NOWAIT);
+ if (entry == NULL) {
+ free(sc->ti_cdata.ti_jumbo_buf, M_DEVBUF);
+ sc->ti_cdata.ti_jumbo_buf = NULL;
+ printf("ti%d: no memory for jumbo "
+ "buffer queue!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+ entry->slot = i;
+ SLIST_INSERT_HEAD(&sc->ti_jfree_listhead, entry, jpool_entries);
+ }
+
+ return(0);
+}
+
+/*
+ * Allocate a jumbo buffer.
+ */
+static void *ti_jalloc(sc)
+ struct ti_softc *sc;
+{
+ struct ti_jpool_entry *entry;
+
+ entry = SLIST_FIRST(&sc->ti_jfree_listhead);
+
+ if (entry == NULL) {
+ printf("ti%d: no free jumbo buffers\n", sc->ti_unit);
+ return(NULL);
+ }
+
+ SLIST_REMOVE_HEAD(&sc->ti_jfree_listhead, jpool_entries);
+ SLIST_INSERT_HEAD(&sc->ti_jinuse_listhead, entry, jpool_entries);
+ sc->ti_cdata.ti_jslots[entry->slot].ti_inuse = 1;
+ return(sc->ti_cdata.ti_jslots[entry->slot].ti_buf);
+}
+
+/*
+ * Adjust usage count on a jumbo buffer. In general this doesn't
+ * get used much because our jumbo buffers don't get passed around
+ * too much, but it's implemented for correctness.
+ */
+static void ti_jref(buf, size)
+ caddr_t buf;
+ u_int size;
+{
+ struct ti_softc *sc;
+ u_int64_t **aptr;
+ register int i;
+
+ /* Extract the softc struct pointer. */
+ aptr = (u_int64_t **)(buf - sizeof(u_int64_t));
+ sc = (struct ti_softc *)(aptr[0]);
+
+ if (sc == NULL)
+ panic("ti_jref: can't find softc pointer!");
+
+ if (size != TI_JUMBO_FRAMELEN - ETHER_ALIGN)
+ panic("ti_jref: adjusting refcount of buf of wrong size!");
+
+ /* calculate the slot this buffer belongs to */
+
+ i = ((vm_offset_t)aptr
+ - (vm_offset_t)sc->ti_cdata.ti_jumbo_buf) / TI_JLEN;
+
+ if ((i < 0) || (i >= TI_JSLOTS))
+ panic("ti_jref: asked to reference buffer "
+ "that we don't manage!");
+ else if (sc->ti_cdata.ti_jslots[i].ti_inuse == 0)
+ panic("ti_jref: buffer already free!");
+ else
+ sc->ti_cdata.ti_jslots[i].ti_inuse++;
+
+ return;
+}
+
+/*
+ * Release a jumbo buffer.
+ */
+static void ti_jfree(buf, size)
+ caddr_t buf;
+ u_int size;
+{
+ struct ti_softc *sc;
+ u_int64_t **aptr;
+ int i;
+ struct ti_jpool_entry *entry;
+
+ /* Extract the softc struct pointer. */
+ aptr = (u_int64_t **)(buf - sizeof(u_int64_t));
+ sc = (struct ti_softc *)(aptr[0]);
+
+ if (sc == NULL)
+ panic("ti_jfree: can't find softc pointer!");
+
+ if (size != TI_JUMBO_FRAMELEN - ETHER_ALIGN)
+ panic("ti_jfree: freeing buffer of wrong size!");
+
+ /* calculate the slot this buffer belongs to */
+
+ i = ((vm_offset_t)aptr
+ - (vm_offset_t)sc->ti_cdata.ti_jumbo_buf) / TI_JLEN;
+
+ if ((i < 0) || (i >= TI_JSLOTS))
+ panic("ti_jfree: asked to free buffer that we don't manage!");
+ else if (sc->ti_cdata.ti_jslots[i].ti_inuse == 0)
+ panic("ti_jfree: buffer already free!");
+ else {
+ sc->ti_cdata.ti_jslots[i].ti_inuse--;
+ if(sc->ti_cdata.ti_jslots[i].ti_inuse == 0) {
+ entry = SLIST_FIRST(&sc->ti_jinuse_listhead);
+ if (entry == NULL)
+ panic("ti_jfree: buffer not in use!");
+ entry->slot = i;
+ SLIST_REMOVE_HEAD(&sc->ti_jinuse_listhead,
+ jpool_entries);
+ SLIST_INSERT_HEAD(&sc->ti_jfree_listhead,
+ entry, jpool_entries);
+ }
+ }
+
+ return;
+}
+
+
+/*
+ * Intialize a standard receive ring descriptor.
+ */
+static int ti_newbuf_std(sc, i, m)
+ struct ti_softc *sc;
+ int i;
+ struct mbuf *m;
+{
+ struct mbuf *m_new = NULL;
+ struct ti_rx_desc *r;
+
+ if (m != NULL) {
+ m_new = m;
+ } else {
+ MGETHDR(m_new, M_DONTWAIT, MT_DATA);
+ if (m_new == NULL) {
+ printf("ti%d: mbuf allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+
+ MCLGET(m_new, M_DONTWAIT);
+ if (!(m_new->m_flags & M_EXT)) {
+ printf("ti%d: cluster allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ m_freem(m_new);
+ return(ENOBUFS);
+ }
+ }
+
+ m_new->m_len -= ETHER_ALIGN;
+ m_new->m_data += ETHER_ALIGN;
+ sc->ti_cdata.ti_rx_std_chain[i] = m_new;
+ r = &sc->ti_rdata->ti_rx_std_ring[i];
+ TI_HOSTADDR(r->ti_addr) = vtophys(mtod(m_new, caddr_t));
+ r->ti_type = TI_BDTYPE_RECV_BD;
+#ifdef TI_CSUM_OFFLOAD
+ r->ti_flags = TI_BDFLAG_TCP_UDP_CKSUM|TI_BDFLAG_IP_CKSUM;
+#else
+ r->ti_flags = 0;
+#endif
+ r->ti_len = MCLBYTES - ETHER_ALIGN;
+ r->ti_idx = i;
+
+ return(0);
+}
+
+/*
+ * Intialize a mini receive ring descriptor. This only applies to
+ * the Tigon 2.
+ */
+static int ti_newbuf_mini(sc, i, m)
+ struct ti_softc *sc;
+ int i;
+ struct mbuf *m;
+{
+ struct mbuf *m_new = NULL;
+ struct ti_rx_desc *r;
+
+ if (m != NULL) {
+ m_new = m;
+ } else {
+ MGETHDR(m_new, M_DONTWAIT, MT_DATA);
+ if (m_new == NULL) {
+ printf("ti%d: mbuf allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+ }
+ m_new->m_len -= ETHER_ALIGN;
+ m_new->m_data += ETHER_ALIGN;
+ r = &sc->ti_rdata->ti_rx_mini_ring[i];
+ sc->ti_cdata.ti_rx_mini_chain[i] = m_new;
+ TI_HOSTADDR(r->ti_addr) = vtophys(mtod(m_new, caddr_t));
+ r->ti_type = TI_BDTYPE_RECV_BD;
+ r->ti_flags = TI_BDFLAG_MINI_RING;
+#ifdef TI_CSUM_OFFLOAD
+ r->ti_flags |= TI_BDFLAG_TCP_UDP_CKSUM|TI_BDFLAG_IP_CKSUM;
+#endif
+ r->ti_len = MHLEN - ETHER_ALIGN;
+ r->ti_idx = i;
+
+ return(0);
+}
+
+/*
+ * Initialize a jumbo receive ring descriptor. This allocates
+ * a jumbo buffer from the pool managed internally by the driver.
+ */
+static int ti_newbuf_jumbo(sc, i, m)
+ struct ti_softc *sc;
+ int i;
+ struct mbuf *m;
+{
+ struct mbuf *m_new = NULL;
+ struct ti_rx_desc *r;
+
+ if (m != NULL) {
+ m_new = m;
+ } else {
+ caddr_t *buf = NULL;
+
+ /* Allocate the mbuf. */
+ MGETHDR(m_new, M_DONTWAIT, MT_DATA);
+ if (m_new == NULL) {
+ printf("ti%d: mbuf allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+
+ /* Allocate the jumbo buffer */
+ buf = ti_jalloc(sc);
+ if (buf == NULL) {
+ m_freem(m_new);
+ printf("ti%d: jumbo allocation failed "
+ "-- packet dropped!\n", sc->ti_unit);
+ return(ENOBUFS);
+ }
+
+ /* Attach the buffer to the mbuf. */
+ m_new->m_data = m_new->m_ext.ext_buf = (void *)buf;
+ m_new->m_data += ETHER_ALIGN;
+ m_new->m_flags |= M_EXT;
+ m_new->m_ext.ext_size = TI_JUMBO_FRAMELEN - ETHER_ALIGN;
+ m_new->m_ext.ext_free = ti_jfree;
+ m_new->m_ext.ext_ref = ti_jref;
+ }
+
+ /* Set up the descriptor. */
+ r = &sc->ti_rdata->ti_rx_jumbo_ring[i];
+ sc->ti_cdata.ti_rx_jumbo_chain[i] = m_new;
+ TI_HOSTADDR(r->ti_addr) = vtophys(mtod(m_new, caddr_t));
+ r->ti_type = TI_BDTYPE_RECV_JUMBO_BD;
+ r->ti_flags = TI_BDFLAG_JUMBO_RING;
+#ifdef TI_CSUM_OFFLOAD
+ r->ti_flags |= TI_BDFLAG_TCP_UDP_CKSUM|TI_BDFLAG_IP_CKSUM;
+#endif
+ r->ti_len = TI_JUMBO_FRAMELEN - ETHER_ALIGN;
+ r->ti_idx = i;
+
+ return(0);
+}
+
+/*
+ * The standard receive ring has 512 entries in it. At 2K per mbuf cluster,
+ * that's 1MB or memory, which is a lot. For now, we fill only the first
+ * 256 ring entries and hope that our CPU is fast enough to keep up with
+ * the NIC.
+ */
+static int ti_init_rx_ring_std(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+ struct ti_cmd_desc cmd;
+
+ for (i = 0; i < TI_SSLOTS; i++) {
+ if (ti_newbuf_std(sc, i, NULL) == ENOBUFS)
+ return(ENOBUFS);
+ };
+
+ TI_UPDATE_STDPROD(sc, i - 1);
+ sc->ti_std_old = sc->ti_std = i - 1;
+ sc->ti_std_cnt = 0;
+
+ return(0);
+}
+
+static void ti_free_rx_ring_std(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ for (i = 0; i < TI_STD_RX_RING_CNT; i++) {
+ if (sc->ti_cdata.ti_rx_std_chain[i] != NULL) {
+ m_freem(sc->ti_cdata.ti_rx_std_chain[i]);
+ sc->ti_cdata.ti_rx_std_chain[i] = NULL;
+ }
+ bzero((char *)&sc->ti_rdata->ti_rx_std_ring[i],
+ sizeof(struct ti_rx_desc));
+ }
+
+ return;
+}
+
+static int ti_init_rx_ring_jumbo(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+ struct ti_cmd_desc cmd;
+
+ for (i = 0; i < (TI_JSLOTS - 20); i++) {
+ if (ti_newbuf_jumbo(sc, i, NULL) == ENOBUFS)
+ return(ENOBUFS);
+ };
+
+ TI_UPDATE_JUMBOPROD(sc, i - 1);
+ sc->ti_jumbo_old = sc->ti_jumbo = i - 1;
+ sc->ti_jumbo_cnt = 0;
+
+ return(0);
+}
+
+static void ti_free_rx_ring_jumbo(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ for (i = 0; i < TI_JUMBO_RX_RING_CNT; i++) {
+ if (sc->ti_cdata.ti_rx_jumbo_chain[i] != NULL) {
+ m_freem(sc->ti_cdata.ti_rx_jumbo_chain[i]);
+ sc->ti_cdata.ti_rx_jumbo_chain[i] = NULL;
+ }
+ bzero((char *)&sc->ti_rdata->ti_rx_jumbo_ring[i],
+ sizeof(struct ti_rx_desc));
+ }
+
+ return;
+}
+
+static int ti_init_rx_ring_mini(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ for (i = 0; i < TI_MSLOTS; i++) {
+ if (ti_newbuf_mini(sc, i, NULL) == ENOBUFS)
+ return(ENOBUFS);
+ };
+
+ TI_UPDATE_MINIPROD(sc, i - 1);
+ sc->ti_mini_old = sc->ti_mini = i - 1;
+ sc->ti_mini_cnt = 0;
+
+ return(0);
+}
+
+static void ti_free_rx_ring_mini(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ for (i = 0; i < TI_MINI_RX_RING_CNT; i++) {
+ if (sc->ti_cdata.ti_rx_mini_chain[i] != NULL) {
+ m_freem(sc->ti_cdata.ti_rx_mini_chain[i]);
+ sc->ti_cdata.ti_rx_mini_chain[i] = NULL;
+ }
+ bzero((char *)&sc->ti_rdata->ti_rx_mini_ring[i],
+ sizeof(struct ti_rx_desc));
+ }
+
+ return;
+}
+
+/*
+ * In order to reduce the amount of work we have to do in the interrupt
+ * handler, we delay putting new buffers in the receive rings until a
+ * certain amount have been used. This lets us hand over descriptors to
+ * the NIC in fairly large chunks instead of one (or a few) at a time,
+ * and it lets tx_rxeof() run a bit faster some of the time.
+ */
+static void ti_refill_rx_rings(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+ struct ti_cmd_desc cmd;
+
+ if (sc->ti_std_cnt > 15) {
+ for (i = sc->ti_std_old; i != sc->ti_std;
+ TI_INC(i, TI_STD_RX_RING_CNT)) {
+ if (ti_newbuf_std(sc, i, NULL) == ENOBUFS)
+ break;
+ };
+ TI_UPDATE_STDPROD(sc, i);
+ sc->ti_std_old = i;
+ sc->ti_std_cnt = 0;
+ }
+
+ if (sc->ti_jumbo_cnt > 15) {
+ for (i = sc->ti_jumbo_old; i != sc->ti_jumbo;
+ TI_INC(i, TI_JUMBO_RX_RING_CNT)) {
+ if (ti_newbuf_jumbo(sc, i, NULL) == ENOBUFS)
+ break;
+ };
+ TI_UPDATE_JUMBOPROD(sc, i);
+ sc->ti_jumbo_old = i;
+ sc->ti_jumbo_cnt = 0;
+ }
+
+ if (sc->ti_mini_cnt > 15) {
+ for (i = sc->ti_mini_old; i != sc->ti_mini;
+ TI_INC(i, TI_MINI_RX_RING_CNT)) {
+ if (ti_newbuf_mini(sc, i, NULL) == ENOBUFS)
+ break;
+ };
+ TI_UPDATE_MINIPROD(sc, i);
+ sc->ti_mini_old = i;
+ sc->ti_mini_cnt = 0;
+ }
+
+ return;
+}
+
+static void ti_free_tx_ring(sc)
+ struct ti_softc *sc;
+{
+ register int i;
+
+ if (sc->ti_rdata->ti_tx_ring == NULL)
+ return;
+
+ for (i = 0; i < TI_TX_RING_CNT; i++) {
+ if (sc->ti_cdata.ti_tx_chain[i] != NULL) {
+ m_freem(sc->ti_cdata.ti_tx_chain[i]);
+ sc->ti_cdata.ti_tx_chain[i] = NULL;
+ }
+ bzero((char *)&sc->ti_rdata->ti_tx_ring[i],
+ sizeof(struct ti_tx_desc));
+ }
+
+ return;
+}
+
+static int ti_init_tx_ring(sc)
+ struct ti_softc *sc;
+{
+ sc->ti_tx_saved_considx = 0;
+ CSR_WRITE_4(sc, TI_MB_SENDPROD_IDX, 0);
+ return(0);
+}
+
+/*
+ * The Tigon 2 firmware has a new way to add/delete multicast addresses,
+ * but we have to support the old way too so that Tigon 1 cards will
+ * work.
+ */
+void ti_add_mcast(sc, addr)
+ struct ti_softc *sc;
+ struct ether_addr *addr;
+{
+ struct ti_cmd_desc cmd;
+ u_int16_t *m;
+ u_int32_t ext[2] = {0, 0};
+
+ m = (u_int16_t *)&addr->octet[0];
+
+ switch(sc->ti_hwrev) {
+ case TI_HWREV_TIGON:
+ CSR_WRITE_4(sc, TI_GCR_MAR0, htons(m[0]));
+ CSR_WRITE_4(sc, TI_GCR_MAR1, (htons(m[1]) << 16) | htons(m[2]));
+ TI_DO_CMD(TI_CMD_ADD_MCAST_ADDR, 0, 0);
+ break;
+ case TI_HWREV_TIGON_II:
+ ext[0] = htons(m[0]);
+ ext[1] = (htons(m[1]) << 16) | htons(m[2]);
+ TI_DO_CMD_EXT(TI_CMD_EXT_ADD_MCAST, 0, 0, (caddr_t)&ext, 2);
+ break;
+ default:
+ printf("ti%d: unknown hwrev\n", sc->ti_unit);
+ break;
+ }
+
+ return;
+}
+
+void ti_del_mcast(sc, addr)
+ struct ti_softc *sc;
+ struct ether_addr *addr;
+{
+ struct ti_cmd_desc cmd;
+ u_int16_t *m;
+ u_int32_t ext[2] = {0, 0};
+
+ m = (u_int16_t *)&addr->octet[0];
+
+ switch(sc->ti_hwrev) {
+ case TI_HWREV_TIGON:
+ CSR_WRITE_4(sc, TI_GCR_MAR0, htons(m[0]));
+ CSR_WRITE_4(sc, TI_GCR_MAR1, (htons(m[1]) << 16) | htons(m[2]));
+ TI_DO_CMD(TI_CMD_DEL_MCAST_ADDR, 0, 0);
+ break;
+ case TI_HWREV_TIGON_II:
+ ext[0] = htons(m[0]);
+ ext[1] = (htons(m[1]) << 16) | htons(m[2]);
+ TI_DO_CMD_EXT(TI_CMD_EXT_DEL_MCAST, 0, 0, (caddr_t)&ext, 2);
+ break;
+ default:
+ printf("ti%d: unknown hwrev\n", sc->ti_unit);
+ break;
+ }
+
+ return;
+}
+
+/*
+ * Configure the Tigon's multicast address filter.
+ *
+ * The actual multicast table management is a bit of a pain, thanks to
+ * slight brain damage on the part of both Alteon and us. With our
+ * multicast code, we are only alerted when the multicast address table
+ * changes and at that point we only have the current list of addresses:
+ * we only know the current state, not the previous state, so we don't
+ * actually know what addresses were removed or added. The firmware has
+ * state, but we can't get our grubby mits on it, and there is no 'delete
+ * all multicast addresses' command. Hence, we have to maintain our own
+ * state so we know what addresses have been programmed into the NIC at
+ * any given time.
+ */
+static void ti_setmulti(sc)
+ struct ti_softc *sc;
+{
+ struct ifnet *ifp;
+ struct ifmultiaddr *ifma;
+ struct ti_cmd_desc cmd;
+ struct ti_mc_entry *mc;
+ u_int32_t intrs;
+
+ ifp = &sc->arpcom.ac_if;
+
+ if (ifp->if_flags & IFF_ALLMULTI) {
+ TI_DO_CMD(TI_CMD_SET_ALLMULTI, TI_CMD_CODE_ALLMULTI_ENB, 0);
+ return;
+ } else {
+ TI_DO_CMD(TI_CMD_SET_ALLMULTI, TI_CMD_CODE_ALLMULTI_DIS, 0);
+ }
+
+ /* Disable interrupts. */
+ intrs = CSR_READ_4(sc, TI_MB_HOSTINTR);
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 1);
+
+ /* First, zot all the existing filters. */
+ while (sc->ti_mc_listhead.slh_first != NULL) {
+ mc = sc->ti_mc_listhead.slh_first;
+ ti_del_mcast(sc, &mc->mc_addr);
+ SLIST_REMOVE_HEAD(&sc->ti_mc_listhead, mc_entries);
+ free(mc, M_DEVBUF);
+ }
+
+ /* Now program new ones. */
+ for (ifma = ifp->if_multiaddrs.lh_first;
+ ifma != NULL; ifma = ifma->ifma_link.le_next) {
+ if (ifma->ifma_addr->sa_family != AF_LINK)
+ continue;
+ mc = malloc(sizeof(struct ti_mc_entry), M_DEVBUF, M_NOWAIT);
+ bcopy(LLADDR((struct sockaddr_dl *)ifma->ifma_addr),
+ (char *)&mc->mc_addr, ETHER_ADDR_LEN);
+ SLIST_INSERT_HEAD(&sc->ti_mc_listhead, mc, mc_entries);
+ ti_add_mcast(sc, &mc->mc_addr);
+ }
+
+ /* Re-enable interrupts. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, intrs);
+
+ return;
+}
+
+/*
+ * Check to see if the BIOS has configured us for a 64 bit slot when
+ * we aren't actually in one. If we detect this condition, we can work
+ * around it on the Tigon 2 by setting a bit in the PCI state register,
+ * but for the Tigon 1 we must give up and abort the interface attach.
+ */
+static int ti_64bitslot_war(sc)
+ struct ti_softc *sc;
+{
+ if (!(CSR_READ_4(sc, TI_PCI_STATE) & TI_PCISTATE_32BIT_BUS)) {
+ CSR_WRITE_4(sc, 0x600, 0);
+ CSR_WRITE_4(sc, 0x604, 0);
+ CSR_WRITE_4(sc, 0x600, 0x5555AAAA);
+ if (CSR_READ_4(sc, 0x604) == 0x5555AAAA) {
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ return(EINVAL);
+ else {
+ TI_SETBIT(sc, TI_PCI_STATE,
+ TI_PCISTATE_32BIT_BUS);
+ return(0);
+ }
+ }
+ }
+
+ return(0);
+}
+
+/*
+ * Do endian, PCI and DMA initialization. Also check the on-board ROM
+ * self-test results.
+ */
+static int ti_chipinit(sc)
+ struct ti_softc *sc;
+{
+ u_int32_t cacheline;
+ u_int32_t pci_writemax = 0;
+
+ /* Initialize link to down state. */
+ sc->ti_linkstat = TI_EV_CODE_LINK_DOWN;
+
+ /* Set endianness before we access any non-PCI registers. */
+#if BYTE_ORDER == BIG_ENDIAN
+ CSR_WRITE_4(sc, TI_MISC_HOST_CTL,
+ TI_MHC_BIGENDIAN_INIT | (TI_MHC_BIGENDIAN_INIT << 24));
+#else
+ CSR_WRITE_4(sc, TI_MISC_HOST_CTL,
+ TI_MHC_LITTLEENDIAN_INIT | (TI_MHC_LITTLEENDIAN_INIT << 24));
+#endif
+
+ /* Check the ROM failed bit to see if self-tests passed. */
+ if (CSR_READ_4(sc, TI_CPU_STATE) & TI_CPUSTATE_ROMFAIL) {
+ printf("ti%d: board self-diagnostics failed!\n", sc->ti_unit);
+ return(ENODEV);
+ }
+
+ /* Halt the CPU. */
+ TI_SETBIT(sc, TI_CPU_STATE, TI_CPUSTATE_HALT);
+
+ /* Figure out the hardware revision. */
+ switch(CSR_READ_4(sc, TI_MISC_HOST_CTL) & TI_MHC_CHIP_REV_MASK) {
+ case TI_REV_TIGON_I:
+ sc->ti_hwrev = TI_HWREV_TIGON;
+ break;
+ case TI_REV_TIGON_II:
+ sc->ti_hwrev = TI_HWREV_TIGON_II;
+ break;
+ default:
+ printf("ti%d: unsupported chip revision\n", sc->ti_unit);
+ return(ENODEV);
+ }
+
+ /* Do special setup for Tigon 2. */
+ if (sc->ti_hwrev == TI_HWREV_TIGON_II) {
+ TI_SETBIT(sc, TI_CPU_CTL_B, TI_CPUSTATE_HALT);
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_SRAM_BANK_256K);
+ TI_SETBIT(sc, TI_MISC_CONF, TI_MCR_SRAM_SYNCHRONOUS);
+ }
+
+ /* Set up the PCI state register. */
+ CSR_WRITE_4(sc, TI_PCI_STATE, TI_PCI_READ_CMD|TI_PCI_WRITE_CMD);
+ if (sc->ti_hwrev == TI_HWREV_TIGON_II) {
+ TI_SETBIT(sc, TI_PCI_STATE, TI_PCISTATE_USE_MEM_RD_MULT);
+ }
+
+ /* Clear the read/write max DMA parameters. */
+ TI_CLRBIT(sc, TI_PCI_STATE, (TI_PCISTATE_WRITE_MAXDMA|
+ TI_PCISTATE_READ_MAXDMA));
+
+ /* Get cache line size. */
+ cacheline = CSR_READ_4(sc, TI_PCI_BIST) & 0xFF;
+
+ /*
+ * If the system has set enabled the PCI memory write
+ * and invalidate command in the command register, set
+ * the write max parameter accordingly. This is necessary
+ * to use MWI with the Tigon 2.
+ */
+ if (CSR_READ_4(sc, TI_PCI_CMDSTAT) & PCIM_CMD_MWIEN) {
+ switch(cacheline) {
+ case 1:
+ case 4:
+ case 8:
+ case 16:
+ case 32:
+ case 64:
+ break;
+ default:
+ /* Disable PCI memory write and invalidate. */
+ if (bootverbose)
+ printf("ti%d: cache line size %d not "
+ "supported; disabling PCI MWI\n",
+ sc->ti_unit, cacheline);
+ CSR_WRITE_4(sc, TI_PCI_CMDSTAT, CSR_READ_4(sc,
+ TI_PCI_CMDSTAT) & ~PCIM_CMD_MWIEN);
+ break;
+ }
+ }
+
+#ifdef __brokenalpha__
+ /*
+ * From the Alteon sample driver:
+ * Must insure that we do not cross an 8K (bytes) boundary
+ * for DMA reads. Our highest limit is 1K bytes. This is a
+ * restriction on some ALPHA platforms with early revision
+ * 21174 PCI chipsets, such as the AlphaPC 164lx
+ */
+ TI_SETBIT(sc, TI_PCI_STATE, pci_writemax|TI_PCI_READMAX_1024);
+#else
+ TI_SETBIT(sc, TI_PCI_STATE, pci_writemax);
+#endif
+
+ /* This sets the min dma param all the way up (0xff). */
+ TI_SETBIT(sc, TI_PCI_STATE, TI_PCISTATE_MINDMA);
+
+ /* Configure DMA variables. */
+#if BYTE_ORDER == BIG_ENDIAN
+ CSR_WRITE_4(sc, TI_GCR_OPMODE, TI_OPMODE_BYTESWAP_BD |
+ TI_OPMODE_BYTESWAP_DATA | TI_OPMODE_WORDSWAP_BD |
+ TI_OPMODE_WARN_ENB | TI_OPMODE_FATAL_ENB |
+ TI_OPMODE_DONT_FRAG_JUMBO);
+#else
+ CSR_WRITE_4(sc, TI_GCR_OPMODE, TI_OPMODE_BYTESWAP_DATA|
+ TI_OPMODE_WORDSWAP_BD|TI_OPMODE_DONT_FRAG_JUMBO|
+ TI_OPMODE_WARN_ENB|TI_OPMODE_FATAL_ENB);
+#endif
+
+ /*
+ * Only allow 1 DMA channel to be active at a time.
+ * I don't think this is a good idea, but without it
+ * the firmware racks up lots of nicDmaReadRingFull
+ * errors.
+ */
+#ifndef TI_CSUM_OFFLOAD
+ TI_SETBIT(sc, TI_GCR_OPMODE, TI_OPMODE_1_DMA_ACTIVE);
+#endif
+
+ /* Recommended settings from Tigon manual. */
+ CSR_WRITE_4(sc, TI_GCR_DMA_WRITECFG, TI_DMA_STATE_THRESH_8W);
+ CSR_WRITE_4(sc, TI_GCR_DMA_READCFG, TI_DMA_STATE_THRESH_8W);
+
+ if (ti_64bitslot_war(sc)) {
+ printf("ti%d: bios thinks we're in a 64 bit slot, "
+ "but we aren't", sc->ti_unit);
+ return(EINVAL);
+ }
+
+ return(0);
+}
+
+/*
+ * Initialize the general information block and firmware, and
+ * start the CPU(s) running.
+ */
+static int ti_gibinit(sc)
+ struct ti_softc *sc;
+{
+ struct ti_rcb *rcb;
+ int i;
+ struct ifnet *ifp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ /* Disable interrupts for now. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 1);
+
+ /* Tell the chip where to find the general information block. */
+ CSR_WRITE_4(sc, TI_GCR_GENINFO_HI, 0);
+ CSR_WRITE_4(sc, TI_GCR_GENINFO_LO, vtophys(&sc->ti_rdata->ti_info));
+
+ /* Load the firmware into SRAM. */
+ ti_loadfw(sc);
+
+ /* Set up the contents of the general info and ring control blocks. */
+
+ /* Set up the event ring and producer pointer. */
+ rcb = &sc->ti_rdata->ti_info.ti_ev_rcb;
+
+ TI_HOSTADDR(rcb->ti_hostaddr) = vtophys(&sc->ti_rdata->ti_event_ring);
+ rcb->ti_flags = 0;
+ TI_HOSTADDR(sc->ti_rdata->ti_info.ti_ev_prodidx_ptr) =
+ vtophys(&sc->ti_ev_prodidx);
+ sc->ti_ev_prodidx.ti_idx = 0;
+ CSR_WRITE_4(sc, TI_GCR_EVENTCONS_IDX, 0);
+ sc->ti_ev_saved_considx = 0;
+
+ /* Set up the command ring and producer mailbox. */
+ rcb = &sc->ti_rdata->ti_info.ti_cmd_rcb;
+
+#ifdef __i386__
+ sc->ti_rdata->ti_cmd_ring =
+ (struct ti_cmd_desc *)(sc->ti_bhandle + TI_GCR_CMDRING);
+#endif
+#ifdef __alpha__
+ sc->ti_rdata->ti_cmd_ring =
+ (struct ti_cmd_desc *)(sc->ti_vhandle + TI_GCR_CMDRING);
+#endif
+ TI_HOSTADDR(rcb->ti_hostaddr) = TI_GCR_NIC_ADDR(TI_GCR_CMDRING);
+ rcb->ti_flags = 0;
+ rcb->ti_max_len = 0;
+ for (i = 0; i < TI_CMD_RING_CNT; i++) {
+ CSR_WRITE_4(sc, TI_GCR_CMDRING + (i * 4), 0);
+ }
+ CSR_WRITE_4(sc, TI_GCR_CMDCONS_IDX, 0);
+ CSR_WRITE_4(sc, TI_MB_CMDPROD_IDX, 0);
+ sc->ti_cmd_saved_prodidx = 0;
+
+ /*
+ * Assign the address of the stats refresh buffer.
+ * We re-use the current stats buffer for this to
+ * conserve memory.
+ */
+ TI_HOSTADDR(sc->ti_rdata->ti_info.ti_refresh_stats_ptr) =
+ vtophys(&sc->ti_rdata->ti_info.ti_stats);
+
+ /* Set up the standard receive ring. */
+ rcb = &sc->ti_rdata->ti_info.ti_std_rx_rcb;
+ TI_HOSTADDR(rcb->ti_hostaddr) = vtophys(&sc->ti_rdata->ti_rx_std_ring);
+ rcb->ti_max_len = TI_FRAMELEN;
+ rcb->ti_flags = 0;
+#ifdef TI_CSUM_OFFLOAD
+ rcb->ti_flags |= TI_RCB_FLAG_TCP_UDP_CKSUM|TI_RCB_FLAG_IP_CKSUM;
+#endif
+#if NVLAN > 0
+ rcb->ti_flags |= TI_RCB_FLAG_VLAN_ASSIST;
+#endif
+
+ /* Set up the jumbo receive ring. */
+ rcb = &sc->ti_rdata->ti_info.ti_jumbo_rx_rcb;
+ TI_HOSTADDR(rcb->ti_hostaddr) =
+ vtophys(&sc->ti_rdata->ti_rx_jumbo_ring);
+ rcb->ti_max_len = TI_JUMBO_FRAMELEN - ETHER_ALIGN;
+ rcb->ti_flags = 0;
+#ifdef TI_CSUM_OFFLOAD
+ rcb->ti_flags |= TI_RCB_FLAG_TCP_UDP_CKSUM|TI_RCB_FLAG_IP_CKSUM;
+#endif
+#if NVLAN > 0
+ rcb->ti_flags |= TI_RCB_FLAG_VLAN_ASSIST;
+#endif
+
+ /*
+ * Set up the mini ring. Only activated on the
+ * Tigon 2 but the slot in the config block is
+ * still there on the Tigon 1.
+ */
+ rcb = &sc->ti_rdata->ti_info.ti_mini_rx_rcb;
+ TI_HOSTADDR(rcb->ti_hostaddr) =
+ vtophys(&sc->ti_rdata->ti_rx_mini_ring);
+ rcb->ti_max_len = MHLEN;
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ rcb->ti_flags = TI_RCB_FLAG_RING_DISABLED;
+ else
+ rcb->ti_flags = 0;
+#ifdef TI_CSUM_OFFLOAD
+ rcb->ti_flags |= TI_RCB_FLAG_TCP_UDP_CKSUM|TI_RCB_FLAG_IP_CKSUM;
+#endif
+#if NVLAN > 0
+ rcb->ti_flags |= TI_RCB_FLAG_VLAN_ASSIST;
+#endif
+
+ /*
+ * Set up the receive return ring.
+ */
+ rcb = &sc->ti_rdata->ti_info.ti_return_rcb;
+ TI_HOSTADDR(rcb->ti_hostaddr) =
+ vtophys(&sc->ti_rdata->ti_rx_return_ring);
+ rcb->ti_flags = 0;
+ rcb->ti_max_len = TI_RETURN_RING_CNT;
+ TI_HOSTADDR(sc->ti_rdata->ti_info.ti_return_prodidx_ptr) =
+ vtophys(&sc->ti_return_prodidx);
+
+ /*
+ * Set up the tx ring. Note: for the Tigon 2, we have the option
+ * of putting the transmit ring in the host's address space and
+ * letting the chip DMA it instead of leaving the ring in the NIC's
+ * memory and accessing it through the shared memory region. We
+ * do this for the Tigon 2, but it doesn't work on the Tigon 1,
+ * so we have to revert to the shared memory scheme if we detect
+ * a Tigon 1 chip.
+ */
+ CSR_WRITE_4(sc, TI_WINBASE, TI_TX_RING_BASE);
+ if (sc->ti_hwrev == TI_HWREV_TIGON) {
+#ifdef __i386__
+ sc->ti_rdata->ti_tx_ring_nic =
+ (struct ti_tx_desc *)(sc->ti_bhandle + TI_WINDOW);
+#endif
+#ifdef __alpha__
+ sc->ti_rdata->ti_tx_ring_nic =
+ (struct ti_tx_desc *)(sc->ti_vhandle + TI_WINDOW);
+#endif
+ }
+ bzero((char *)sc->ti_rdata->ti_tx_ring,
+ TI_TX_RING_CNT * sizeof(struct ti_tx_desc));
+ rcb = &sc->ti_rdata->ti_info.ti_tx_rcb;
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ rcb->ti_flags = 0;
+ else
+ rcb->ti_flags = TI_RCB_FLAG_HOST_RING;
+#if NVLAN > 0
+ rcb->ti_flags |= TI_RCB_FLAG_VLAN_ASSIST;
+#endif
+ rcb->ti_max_len = TI_TX_RING_CNT;
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ TI_HOSTADDR(rcb->ti_hostaddr) = TI_TX_RING_BASE;
+ else
+ TI_HOSTADDR(rcb->ti_hostaddr) =
+ vtophys(&sc->ti_rdata->ti_tx_ring);
+ TI_HOSTADDR(sc->ti_rdata->ti_info.ti_tx_considx_ptr) =
+ vtophys(&sc->ti_tx_considx);
+
+ /* Set up tuneables */
+ if (ifp->if_mtu > (ETHERMTU + ETHER_HDR_LEN + ETHER_CRC_LEN))
+ CSR_WRITE_4(sc, TI_GCR_RX_COAL_TICKS,
+ (sc->ti_rx_coal_ticks / 10));
+ else
+ CSR_WRITE_4(sc, TI_GCR_RX_COAL_TICKS, sc->ti_rx_coal_ticks);
+ CSR_WRITE_4(sc, TI_GCR_TX_COAL_TICKS, sc->ti_tx_coal_ticks);
+ CSR_WRITE_4(sc, TI_GCR_STAT_TICKS, sc->ti_stat_ticks);
+ CSR_WRITE_4(sc, TI_GCR_RX_MAX_COAL_BD, sc->ti_rx_max_coal_bds);
+ CSR_WRITE_4(sc, TI_GCR_TX_MAX_COAL_BD, sc->ti_tx_max_coal_bds);
+ CSR_WRITE_4(sc, TI_GCR_TX_BUFFER_RATIO, sc->ti_tx_buf_ratio);
+
+ /* Turn interrupts on. */
+ CSR_WRITE_4(sc, TI_GCR_MASK_INTRS, 0);
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 0);
+
+ /* Start CPU. */
+ TI_CLRBIT(sc, TI_CPU_STATE, (TI_CPUSTATE_HALT|TI_CPUSTATE_STEP));
+
+ return(0);
+}
+
+/*
+ * Probe for a Tigon chip. Check the PCI vendor and device IDs
+ * against our list and return its name if we find a match.
+ */
+static const char *
+ti_probe(config_id, device_id)
+ pcici_t config_id;
+ pcidi_t device_id;
+{
+ struct ti_type *t;
+
+ t = ti_devs;
+
+ while(t->ti_name != NULL) {
+ if ((device_id & 0xFFFF) == t->ti_vid &&
+ ((device_id >> 16) & 0xFFFF) == t->ti_did)
+ return(t->ti_name);
+ t++;
+ }
+
+ return(NULL);
+}
+
+
+static void
+ti_attach(config_id, unit)
+ pcici_t config_id;
+ int unit;
+{
+ vm_offset_t pbase, vbase;
+ int s;
+ u_int32_t command;
+ struct ifnet *ifp;
+ struct ti_softc *sc;
+
+ s = splimp();
+
+ /* First, allocate memory for the softc struct. */
+ sc = malloc(sizeof(struct ti_softc), M_DEVBUF, M_NOWAIT);
+ if (sc == NULL) {
+ printf("ti%d: no memory for softc struct!\n", unit);
+ goto fail;
+ }
+
+ bzero(sc, sizeof(struct ti_softc));
+
+ /*
+ * Map control/status registers.
+ */
+ command = pci_conf_read(config_id, PCI_COMMAND_STATUS_REG);
+ command |= (PCIM_CMD_MEMEN|PCIM_CMD_BUSMASTEREN);
+ pci_conf_write(config_id, PCI_COMMAND_STATUS_REG, command);
+ command = pci_conf_read(config_id, PCI_COMMAND_STATUS_REG);
+
+ if (!(command & PCIM_CMD_MEMEN)) {
+ printf("ti%d: failed to enable memory mapping!\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+#ifdef __i386__
+ if (!pci_map_mem(config_id, TI_PCI_LOMEM, &vbase, &pbase)) {
+ printf ("ti%d: couldn't map memory\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ sc->ti_bhandle = vbase;
+ sc->ti_btag = I386_BUS_SPACE_MEM;
+#endif
+
+#ifdef __alpha__
+ if (!(pci_map_bwx(config_id, TI_PCI_LOMEM, &vbase, &pbase) ||
+ pci_map_dense(config_id, TI_PCI_LOMEM, &vbase, &pbase))){
+ printf ("ti%d: couldn't map memory\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ sc->ti_bhandle = pbase;
+ sc->ti_vhandle = vbase;
+ sc->ti_btag = ALPHA_BUS_SPACE_MEM;
+#endif
+ /* Allocate interrupt */
+ if (!pci_map_int(config_id, ti_intr, sc, &net_imask)) {
+ printf("ti%d: couldn't map interrupt\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ sc->ti_unit = unit;
+
+ if (ti_chipinit(sc)) {
+ printf("ti%d: chip initialization failed\n", sc->ti_unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ /* Zero out the NIC's on-board SRAM. */
+ ti_mem(sc, 0x2000, 0x100000 - 0x2000, NULL);
+
+ /* Init again -- zeroing memory may have clobbered some registers. */
+ if (ti_chipinit(sc)) {
+ printf("ti%d: chip initialization failed\n", sc->ti_unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ /*
+ * Get station address from the EEPROM. Note: the manual states
+ * that the MAC address is at offset 0x8c, however the data is
+ * stored as two longwords (since that's how it's loaded into
+ * the NIC). This means the MAC address is actually preceeded
+ * by two zero bytes. We need to skip over those.
+ */
+ if (ti_read_eeprom(sc, (caddr_t)&sc->arpcom.ac_enaddr,
+ TI_EE_MAC_OFFSET + 2, ETHER_ADDR_LEN)) {
+ printf("ti%d: failed to read station address\n", unit);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ /*
+ * A Tigon chip was detected. Inform the world.
+ */
+ printf("ti%d: Ethernet address: %6D\n", unit,
+ sc->arpcom.ac_enaddr, ":");
+
+ /* Allocate the general information block and ring buffers. */
+ sc->ti_rdata_ptr = contigmalloc(sizeof(struct ti_ring_data), M_DEVBUF,
+ M_NOWAIT, 0x100000, 0xffffffff, PAGE_SIZE, 0);
+
+ if (sc->ti_rdata_ptr == NULL) {
+ free(sc, M_DEVBUF);
+ printf("ti%d: no memory for list buffers!\n", sc->ti_unit);
+ goto fail;
+ }
+
+ sc->ti_rdata = (struct ti_ring_data *)sc->ti_rdata_ptr;
+ bzero(sc->ti_rdata, sizeof(struct ti_ring_data));
+
+ /* Try to allocate memory for jumbo buffers. */
+ if (ti_alloc_jumbo_mem(sc)) {
+ printf("ti%d: jumbo buffer allocation failed\n", sc->ti_unit);
+ free(sc->ti_rdata_ptr, M_DEVBUF);
+ free(sc, M_DEVBUF);
+ goto fail;
+ }
+
+ /* Set default tuneable values. */
+ sc->ti_stat_ticks = 2 * TI_TICKS_PER_SEC;
+ sc->ti_rx_coal_ticks = TI_TICKS_PER_SEC / 5000;
+ sc->ti_tx_coal_ticks = TI_TICKS_PER_SEC / 500;
+ sc->ti_rx_max_coal_bds = 64;
+ sc->ti_tx_max_coal_bds = 128;
+ sc->ti_tx_buf_ratio = 21;
+
+ /* Set up ifnet structure */
+ ifp = &sc->arpcom.ac_if;
+ ifp->if_softc = sc;
+ ifp->if_unit = sc->ti_unit;
+ ifp->if_name = "ti";
+ ifp->if_flags = IFF_BROADCAST | IFF_SIMPLEX | IFF_MULTICAST;
+ ifp->if_ioctl = ti_ioctl;
+ ifp->if_output = ether_output;
+ ifp->if_start = ti_start;
+ ifp->if_watchdog = ti_watchdog;
+ ifp->if_init = ti_init;
+ ifp->if_mtu = ETHERMTU;
+ ifp->if_snd.ifq_maxlen = TI_TX_RING_CNT - 1;
+
+ /* Set up ifmedia support. */
+ ifmedia_init(&sc->ifmedia, IFM_IMASK, ti_ifmedia_upd, ti_ifmedia_sts);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_10_FL, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_10_FL|IFM_FDX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_100_FX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_100_FX|IFM_FDX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_1000_SX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_1000_SX|IFM_FDX, 0, NULL);
+ ifmedia_add(&sc->ifmedia, IFM_ETHER|IFM_AUTO, 0, NULL);
+ ifmedia_set(&sc->ifmedia, IFM_ETHER|IFM_AUTO);
+
+ /*
+ * Call MI attach routines.
+ */
+ if_attach(ifp);
+ ether_ifattach(ifp);
+
+#if NBPFILTER > 0
+ bpfattach(ifp, DLT_EN10MB, sizeof(struct ether_header));
+#endif
+
+ at_shutdown(ti_shutdown, sc, SHUTDOWN_POST_SYNC);
+
+fail:
+ splx(s);
+
+ return;
+}
+
+/*
+ * Frame reception handling. This is called if there's a frame
+ * on the receive return list.
+ *
+ * Note: we have to be able to handle three possibilities here:
+ * 1) the frame is from the mini receive ring (can only happen)
+ * on Tigon 2 boards)
+ * 2) the frame is from the jumbo recieve ring
+ * 3) the frame is from the standard receive ring
+ */
+int ti_cksumok = 0;
+
+static void ti_rxeof(sc)
+ struct ti_softc *sc;
+{
+ struct ifnet *ifp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ while(sc->ti_rx_saved_considx != sc->ti_return_prodidx.ti_idx) {
+ struct ti_rx_desc *cur_rx;
+ u_int32_t rxidx;
+ struct ether_header *eh;
+ struct mbuf *m = NULL;
+#if NVLAN > 0
+ u_int16_t vlan_tag = 0;
+ int have_tag = 0;
+#endif
+#ifdef TI_CSUM_OFFLOAD
+ struct ip *ip;
+#endif
+
+ cur_rx =
+ &sc->ti_rdata->ti_rx_return_ring[sc->ti_rx_saved_considx];
+ rxidx = cur_rx->ti_idx;
+ TI_INC(sc->ti_rx_saved_considx, TI_RETURN_RING_CNT);
+
+#if NVLAN > 0
+ if (cur_rx->ti_flags & TI_BDFLAG_VLAN_TAG) {
+ have_tag = 1;
+ vlan_tag = cur_rx->ti_vlan_tag;
+ }
+#endif
+
+ if (cur_rx->ti_flags & TI_BDFLAG_JUMBO_RING) {
+ TI_INC(sc->ti_jumbo, TI_JUMBO_RX_RING_CNT);
+ m = sc->ti_cdata.ti_rx_jumbo_chain[rxidx];
+ sc->ti_cdata.ti_rx_jumbo_chain[rxidx] = NULL;
+ if (cur_rx->ti_flags & TI_BDFLAG_ERROR) {
+ ifp->if_ierrors++;
+ ti_newbuf_jumbo(sc, sc->ti_jumbo, m);
+ TI_INC(sc->ti_jumbo_old, TI_JUMBO_RX_RING_CNT);
+ continue;
+ }
+ sc->ti_jumbo_cnt++;
+ } else if (cur_rx->ti_flags & TI_BDFLAG_MINI_RING) {
+ TI_INC(sc->ti_mini, TI_MINI_RX_RING_CNT);
+ m = sc->ti_cdata.ti_rx_mini_chain[rxidx];
+ sc->ti_cdata.ti_rx_mini_chain[rxidx] = NULL;
+ if (cur_rx->ti_flags & TI_BDFLAG_ERROR) {
+ ifp->if_ierrors++;
+ ti_newbuf_mini(sc, sc->ti_mini, m);
+ TI_INC(sc->ti_mini_old, TI_MINI_RX_RING_CNT);
+ continue;
+ }
+ sc->ti_mini_cnt++;
+ } else {
+ TI_INC(sc->ti_std, TI_STD_RX_RING_CNT);
+ m = sc->ti_cdata.ti_rx_std_chain[rxidx];
+ sc->ti_cdata.ti_rx_std_chain[rxidx] = NULL;
+ if (cur_rx->ti_flags & TI_BDFLAG_ERROR) {
+ ifp->if_ierrors++;
+ ti_newbuf_std(sc, sc->ti_std, m);
+ TI_INC(sc->ti_std_old, TI_STD_RX_RING_CNT);
+ continue;
+ }
+ sc->ti_std_cnt++;
+ }
+
+ m->m_pkthdr.len = m->m_len = cur_rx->ti_len;
+ ifp->if_ipackets++;
+ eh = mtod(m, struct ether_header *);
+ m->m_pkthdr.rcvif = ifp;
+
+#if NBPFILTER > 0
+ /*
+ * Handle BPF listeners. Let the BPF user see the packet, but
+ * don't pass it up to the ether_input() layer unless it's
+ * a broadcast packet, multicast packet, matches our ethernet
+ * address or the interface is in promiscuous mode.
+ */
+ if (ifp->if_bpf) {
+ bpf_mtap(ifp, m);
+ if (ifp->if_flags & IFF_PROMISC &&
+ (bcmp(eh->ether_dhost, sc->arpcom.ac_enaddr,
+ ETHER_ADDR_LEN) &&
+ (eh->ether_dhost[0] & 1) == 0)) {
+ m_freem(m);
+ continue;
+ }
+ }
+#endif
+
+ /* Remove header from mbuf and pass it on. */
+ m_adj(m, sizeof(struct ether_header));
+
+#ifdef TI_CSUM_OFFLOAD
+ ip = mtod(m, struct ip *);
+ if (!(cur_rx->ti_tcp_udp_cksum ^ 0xFFFF) &&
+ !(ip->ip_off & htons(IP_MF | IP_OFFMASK | IP_RF))) {
+ m->m_flags |= M_HWCKSUM;
+ ti_cksumok++;
+ }
+#endif
+
+#if NVLAN > 0
+ /*
+ * If we received a packet with a vlan tag, pass it
+ * to vlan_input() instead of ether_input().
+ */
+ if (have_tag) {
+ vlan_input_tag(eh, m, vlan_tag);
+ have_tag = vlan_tag = 0;
+ continue;
+ }
+#endif
+ ether_input(ifp, eh, m);
+ }
+
+ /* Only necessary on the Tigon 1. */
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ CSR_WRITE_4(sc, TI_GCR_RXRETURNCONS_IDX,
+ sc->ti_rx_saved_considx);
+
+ ti_refill_rx_rings(sc);
+
+ return;
+}
+
+static void ti_txeof(sc)
+ struct ti_softc *sc;
+{
+ struct ti_tx_desc *cur_tx = NULL;
+ struct ifnet *ifp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ /*
+ * Go through our tx ring and free mbufs for those
+ * frames that have been sent.
+ */
+ while (sc->ti_tx_saved_considx != sc->ti_tx_considx.ti_idx) {
+ u_int32_t idx = 0;
+
+ idx = sc->ti_tx_saved_considx;
+ if (sc->ti_hwrev == TI_HWREV_TIGON) {
+ if (idx > 383)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 6144);
+ else if (idx > 255)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 4096);
+ else if (idx > 127)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 2048);
+ else
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE);
+ cur_tx = &sc->ti_rdata->ti_tx_ring_nic[idx % 128];
+ } else
+ cur_tx = &sc->ti_rdata->ti_tx_ring[idx];
+ if (cur_tx->ti_flags & TI_BDFLAG_END)
+ ifp->if_opackets++;
+ if (sc->ti_cdata.ti_tx_chain[idx] != NULL) {
+ m_freem(sc->ti_cdata.ti_tx_chain[idx]);
+ sc->ti_cdata.ti_tx_chain[idx] = NULL;
+ }
+ TI_INC(sc->ti_tx_saved_considx, TI_TX_RING_CNT);
+ ifp->if_timer = 0;
+ }
+
+ if (cur_tx != NULL)
+ ifp->if_flags &= ~IFF_OACTIVE;
+
+ return;
+}
+
+static void ti_intr(xsc)
+ void *xsc;
+{
+ struct ti_softc *sc;
+ struct ifnet *ifp;
+
+ sc = xsc;
+ ifp = &sc->arpcom.ac_if;
+
+#ifdef notdef
+ /* Avoid this for now -- checking this register is expensive. */
+ /* Make sure this is really our interrupt. */
+ if (!(CSR_READ_4(sc, TI_MISC_HOST_CTL) & TI_MHC_INTSTATE))
+ return;
+#endif
+
+ /* Ack interrupt and stop others from occuring. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 1);
+
+ if (ifp->if_flags & IFF_RUNNING) {
+ /* Check RX return ring producer/consumer */
+ ti_rxeof(sc);
+
+ /* Check TX ring producer/consumer */
+ ti_txeof(sc);
+ }
+
+ ti_handle_events(sc);
+
+ /* Re-enable interrupts. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 0);
+
+ if (ifp->if_flags & IFF_RUNNING && ifp->if_snd.ifq_head != NULL)
+ ti_start(ifp);
+
+ return;
+}
+
+static void ti_stats_update(sc)
+ struct ti_softc *sc;
+{
+ struct ifnet *ifp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ ifp->if_collisions +=
+ (sc->ti_rdata->ti_info.ti_stats.dot3StatsSingleCollisionFrames +
+ sc->ti_rdata->ti_info.ti_stats.dot3StatsMultipleCollisionFrames +
+ sc->ti_rdata->ti_info.ti_stats.dot3StatsExcessiveCollisions +
+ sc->ti_rdata->ti_info.ti_stats.dot3StatsLateCollisions) -
+ ifp->if_collisions;
+
+ return;
+}
+
+/*
+ * Encapsulate an mbuf chain in the tx ring by coupling the mbuf data
+ * pointers to descriptors.
+ */
+static int ti_encap(sc, m_head, txidx)
+ struct ti_softc *sc;
+ struct mbuf *m_head;
+ u_int32_t *txidx;
+{
+ struct ti_tx_desc *f = NULL;
+ struct mbuf *m;
+ u_int32_t frag, cur;
+#if NVLAN > 0
+ struct ifvlan *ifv = NULL;
+
+ if ((m_head->m_flags & (M_PROTO1|M_PKTHDR)) == (M_PROTO1|M_PKTHDR) &&
+ m_head->m_pkthdr.rcvif != NULL &&
+ m_head->m_pkthdr.rcvif->if_type == IFT_8021_VLAN)
+ ifv = m_head->m_pkthdr.rcvif->if_softc;
+#endif
+
+ m = m_head;
+ cur = frag = *txidx;
+
+ /*
+ * Start packing the mbufs in this chain into
+ * the fragment pointers. Stop when we run out
+ * of fragments or hit the end of the mbuf chain.
+ */
+ for (m = m_head; m != NULL; m = m->m_next) {
+ if (m->m_len != 0) {
+ if (sc->ti_hwrev == TI_HWREV_TIGON) {
+ if (frag > 383)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 6144);
+ else if (frag > 255)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 4096);
+ else if (frag > 127)
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE + 2048);
+ else
+ CSR_WRITE_4(sc, TI_WINBASE,
+ TI_TX_RING_BASE);
+ f = &sc->ti_rdata->ti_tx_ring_nic[frag % 128];
+ } else
+ f = &sc->ti_rdata->ti_tx_ring[frag];
+ if (sc->ti_cdata.ti_tx_chain[frag] != NULL)
+ break;
+ TI_HOSTADDR(f->ti_addr) = vtophys(mtod(m, vm_offset_t));
+ f->ti_len = m->m_len;
+ f->ti_flags = 0;
+#if NVLAN > 0
+ if (ifv != NULL) {
+ f->ti_flags |= TI_BDFLAG_VLAN_TAG;
+ f->ti_vlan_tag = ifv->ifv_tag;
+ } else {
+ f->ti_vlan_tag = 0;
+ }
+#endif
+ cur = frag;
+ TI_INC(frag, TI_TX_RING_CNT);
+ }
+ }
+
+ if (m != NULL)
+ return(ENOBUFS);
+
+ if (sc->ti_hwrev == TI_HWREV_TIGON)
+ sc->ti_rdata->ti_tx_ring_nic[cur % 128].ti_flags |=
+ TI_BDFLAG_END;
+ else
+ sc->ti_rdata->ti_tx_ring[cur].ti_flags |= TI_BDFLAG_END;
+ sc->ti_cdata.ti_tx_chain[*txidx] = m_head;
+
+ *txidx = frag;
+
+ return(0);
+}
+
+/*
+ * Main transmit routine. To avoid having to do mbuf copies, we put pointers
+ * to the mbuf data regions directly in the transmit descriptors.
+ */
+static void ti_start(ifp)
+ struct ifnet *ifp;
+{
+ struct ti_softc *sc;
+ struct mbuf *m_head = NULL;
+ u_int32_t prodidx = 0;
+
+ sc = ifp->if_softc;
+
+ prodidx = CSR_READ_4(sc, TI_MB_SENDPROD_IDX);
+
+ while(sc->ti_cdata.ti_tx_chain[prodidx] == NULL) {
+ IF_DEQUEUE(&ifp->if_snd, m_head);
+ if (m_head == NULL)
+ break;
+
+ /*
+ * Pack the data into the transmit ring. If we
+ * don't have room, set the OACTIVE flag and wait
+ * for the NIC to drain the ring.
+ */
+ if (ti_encap(sc, m_head, &prodidx)) {
+ IF_PREPEND(&ifp->if_snd, m_head);
+ ifp->if_flags |= IFF_OACTIVE;
+ break;
+ }
+
+ /*
+ * If there's a BPF listener, bounce a copy of this frame
+ * to him.
+ */
+#if NBPFILTER > 0
+ if (ifp->if_bpf)
+ bpf_mtap(ifp, m_head);
+#endif
+ }
+
+ /* Transmit */
+ CSR_WRITE_4(sc, TI_MB_SENDPROD_IDX, prodidx);
+
+ /*
+ * Set a timeout in case the chip goes out to lunch.
+ */
+ ifp->if_timer = 5;
+
+ return;
+}
+
+static void ti_init(xsc)
+ void *xsc;
+{
+ struct ti_softc *sc = xsc;
+ int s;
+
+ s = splimp();
+
+ /* Cancel pending I/O and flush buffers. */
+ ti_stop(sc);
+
+ /* Init the gen info block, ring control blocks and firmware. */
+ if (ti_gibinit(sc)) {
+ printf("ti%d: initialization failure\n", sc->ti_unit);
+ splx(s);
+ return;
+ }
+
+ splx(s);
+
+ return;
+}
+
+static void ti_init2(sc)
+ struct ti_softc *sc;
+{
+ struct ti_cmd_desc cmd;
+ struct ifnet *ifp;
+ u_int16_t *m;
+ struct ifmedia *ifm;
+ int tmp;
+
+ ifp = &sc->arpcom.ac_if;
+
+ /* Specify MTU and interface index. */
+ CSR_WRITE_4(sc, TI_GCR_IFINDEX, ifp->if_unit);
+ CSR_WRITE_4(sc, TI_GCR_IFMTU, ifp->if_mtu +
+ ETHER_HDR_LEN + ETHER_CRC_LEN);
+ TI_DO_CMD(TI_CMD_UPDATE_GENCOM, 0, 0);
+
+ /* Load our MAC address. */
+ m = (u_int16_t *)&sc->arpcom.ac_enaddr[0];
+ CSR_WRITE_4(sc, TI_GCR_PAR0, htons(m[0]));
+ CSR_WRITE_4(sc, TI_GCR_PAR1, (htons(m[1]) << 16) | htons(m[2]));
+ TI_DO_CMD(TI_CMD_SET_MAC_ADDR, 0, 0);
+
+ /* Enable or disable promiscuous mode as needed. */
+ if (ifp->if_flags & IFF_PROMISC) {
+ TI_DO_CMD(TI_CMD_SET_PROMISC_MODE, TI_CMD_CODE_PROMISC_ENB, 0);
+ } else {
+ TI_DO_CMD(TI_CMD_SET_PROMISC_MODE, TI_CMD_CODE_PROMISC_DIS, 0);
+ }
+
+ /* Program multicast filter. */
+ ti_setmulti(sc);
+
+ /*
+ * If this is a Tigon 1, we should tell the
+ * firmware to use software packet filtering.
+ */
+ if (sc->ti_hwrev == TI_HWREV_TIGON) {
+ TI_DO_CMD(TI_CMD_FDR_FILTERING, TI_CMD_CODE_FILT_ENB, 0);
+ }
+
+ /* Init RX ring. */
+ ti_init_rx_ring_std(sc);
+
+ /* Init jumbo RX ring. */
+ if (ifp->if_mtu > (ETHERMTU + ETHER_HDR_LEN + ETHER_CRC_LEN))
+ ti_init_rx_ring_jumbo(sc);
+
+ /*
+ * If this is a Tigon 2, we can also configure the
+ * mini ring.
+ */
+ if (sc->ti_hwrev == TI_HWREV_TIGON_II)
+ ti_init_rx_ring_mini(sc);
+
+ CSR_WRITE_4(sc, TI_GCR_RXRETURNCONS_IDX, 0);
+ sc->ti_rx_saved_considx = 0;
+
+ /* Init TX ring. */
+ ti_init_tx_ring(sc);
+
+ /* Tell firmware we're alive. */
+ TI_DO_CMD(TI_CMD_HOST_STATE, TI_CMD_CODE_STACK_UP, 0);
+
+ /* Enable host interrupts. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 0);
+
+ ifp->if_flags |= IFF_RUNNING;
+ ifp->if_flags &= ~IFF_OACTIVE;
+
+ /*
+ * Make sure to set media properly. We have to do this
+ * here since we have to issue commands in order to set
+ * the link negotiation and we can't issue commands until
+ * the firmware is running.
+ */
+ ifm = &sc->ifmedia;
+ tmp = ifm->ifm_media;
+ ifm->ifm_media = ifm->ifm_cur->ifm_media;
+ ti_ifmedia_upd(ifp);
+ ifm->ifm_media = tmp;
+
+ return;
+}
+
+/*
+ * Set media options.
+ */
+static int ti_ifmedia_upd(ifp)
+ struct ifnet *ifp;
+{
+ struct ti_softc *sc;
+ struct ifmedia *ifm;
+ struct ti_cmd_desc cmd;
+
+ sc = ifp->if_softc;
+ ifm = &sc->ifmedia;
+
+ if (IFM_TYPE(ifm->ifm_media) != IFM_ETHER)
+ return(EINVAL);
+
+ switch(IFM_SUBTYPE(ifm->ifm_media)) {
+ case IFM_AUTO:
+ CSR_WRITE_4(sc, TI_GCR_GLINK, TI_GLNK_PREF|TI_GLNK_1000MB|
+ TI_GLNK_FULL_DUPLEX|TI_GLNK_RX_FLOWCTL_Y|
+ TI_GLNK_AUTONEGENB|TI_GLNK_ENB);
+ CSR_WRITE_4(sc, TI_GCR_LINK, TI_LNK_100MB|TI_LNK_10MB|
+ TI_LNK_FULL_DUPLEX|TI_LNK_HALF_DUPLEX|
+ TI_LNK_AUTONEGENB|TI_LNK_ENB);
+ TI_DO_CMD(TI_CMD_LINK_NEGOTIATION,
+ TI_CMD_CODE_NEGOTIATE_BOTH, 0);
+ break;
+ case IFM_1000_SX:
+ CSR_WRITE_4(sc, TI_GCR_GLINK, TI_GLNK_PREF|TI_GLNK_1000MB|
+ TI_GLNK_FULL_DUPLEX|TI_GLNK_RX_FLOWCTL_Y|TI_GLNK_ENB);
+ CSR_WRITE_4(sc, TI_GCR_LINK, 0);
+ TI_DO_CMD(TI_CMD_LINK_NEGOTIATION,
+ TI_CMD_CODE_NEGOTIATE_GIGABIT, 0);
+ break;
+ case IFM_100_FX:
+ case IFM_10_FL:
+ CSR_WRITE_4(sc, TI_GCR_GLINK, 0);
+ CSR_WRITE_4(sc, TI_GCR_LINK, TI_LNK_ENB|TI_LNK_PREF);
+ if (IFM_SUBTYPE(ifm->ifm_media) == IFM_100_FX) {
+ TI_SETBIT(sc, TI_GCR_LINK, TI_LNK_100MB);
+ } else {
+ TI_SETBIT(sc, TI_GCR_LINK, TI_LNK_10MB);
+ }
+ if ((ifm->ifm_media & IFM_GMASK) == IFM_FDX) {
+ TI_SETBIT(sc, TI_GCR_LINK, TI_LNK_FULL_DUPLEX);
+ } else {
+ TI_SETBIT(sc, TI_GCR_LINK, TI_LNK_HALF_DUPLEX);
+ }
+ TI_DO_CMD(TI_CMD_LINK_NEGOTIATION,
+ TI_CMD_CODE_NEGOTIATE_10_100, 0);
+ break;
+ }
+
+ return(0);
+}
+
+/*
+ * Report current media status.
+ */
+static void ti_ifmedia_sts(ifp, ifmr)
+ struct ifnet *ifp;
+ struct ifmediareq *ifmr;
+{
+ struct ti_softc *sc;
+
+ sc = ifp->if_softc;
+
+ ifmr->ifm_status = IFM_AVALID;
+ ifmr->ifm_active = IFM_ETHER;
+
+ if (sc->ti_linkstat == TI_EV_CODE_LINK_DOWN)
+ return;
+
+ ifmr->ifm_status |= IFM_ACTIVE;
+
+ if (sc->ti_linkstat == TI_EV_CODE_GIG_LINK_UP)
+ ifmr->ifm_active |= IFM_1000_SX|IFM_FDX;
+ else if (sc->ti_linkstat == TI_EV_CODE_LINK_UP) {
+ u_int32_t media;
+ media = CSR_READ_4(sc, TI_GCR_LINK_STAT);
+ if (media & TI_LNK_100MB)
+ ifmr->ifm_active |= IFM_100_FX;
+ if (media & TI_LNK_10MB)
+ ifmr->ifm_active |= IFM_10_FL;
+ if (media & TI_LNK_FULL_DUPLEX)
+ ifmr->ifm_active |= IFM_FDX;
+ if (media & TI_LNK_HALF_DUPLEX)
+ ifmr->ifm_active |= IFM_HDX;
+ }
+
+ return;
+}
+
+static int ti_ioctl(ifp, command, data)
+ struct ifnet *ifp;
+ u_long command;
+ caddr_t data;
+{
+ struct ti_softc *sc = ifp->if_softc;
+ struct ifreq *ifr = (struct ifreq *) data;
+ int s, error = 0;
+ struct ti_cmd_desc cmd;
+
+ s = splimp();
+
+ switch(command) {
+ case SIOCSIFADDR:
+ case SIOCGIFADDR:
+ error = ether_ioctl(ifp, command, data);
+ break;
+ case SIOCSIFMTU:
+ if (ifr->ifr_mtu > TI_JUMBO_MTU)
+ error = EINVAL;
+ else {
+ ifp->if_mtu = ifr->ifr_mtu;
+ ti_init(sc);
+ }
+ break;
+ case SIOCSIFFLAGS:
+ if (ifp->if_flags & IFF_UP) {
+ /*
+ * If only the state of the PROMISC flag changed,
+ * then just use the 'set promisc mode' command
+ * instead of reinitializing the entire NIC. Doing
+ * a full re-init means reloading the firmware and
+ * waiting for it to start up, which may take a
+ * second or two.
+ */
+ if (ifp->if_flags & IFF_RUNNING &&
+ ifp->if_flags & IFF_PROMISC &&
+ !(sc->ti_if_flags & IFF_PROMISC)) {
+ TI_DO_CMD(TI_CMD_SET_PROMISC_MODE,
+ TI_CMD_CODE_PROMISC_ENB, 0);
+ } else if (ifp->if_flags & IFF_RUNNING &&
+ !(ifp->if_flags & IFF_PROMISC) &&
+ sc->ti_if_flags & IFF_PROMISC) {
+ TI_DO_CMD(TI_CMD_SET_PROMISC_MODE,
+ TI_CMD_CODE_PROMISC_DIS, 0);
+ } else
+ ti_init(sc);
+ } else {
+ if (ifp->if_flags & IFF_RUNNING) {
+ ti_stop(sc);
+ }
+ }
+ sc->ti_if_flags = ifp->if_flags;
+ error = 0;
+ break;
+ case SIOCADDMULTI:
+ case SIOCDELMULTI:
+ if (ifp->if_flags & IFF_RUNNING) {
+ ti_setmulti(sc);
+ error = 0;
+ }
+ break;
+ case SIOCSIFMEDIA:
+ case SIOCGIFMEDIA:
+ error = ifmedia_ioctl(ifp, ifr, &sc->ifmedia, command);
+ break;
+ default:
+ error = EINVAL;
+ break;
+ }
+
+ (void)splx(s);
+
+ return(error);
+}
+
+static void ti_watchdog(ifp)
+ struct ifnet *ifp;
+{
+ struct ti_softc *sc;
+
+ sc = ifp->if_softc;
+
+ printf("ti%d: watchdog timeout -- resetting\n", sc->ti_unit);
+ ti_stop(sc);
+ ti_init(sc);
+
+ ifp->if_oerrors++;
+
+ return;
+}
+
+/*
+ * Stop the adapter and free any mbufs allocated to the
+ * RX and TX lists.
+ */
+static void ti_stop(sc)
+ struct ti_softc *sc;
+{
+ struct ifnet *ifp;
+ struct ti_cmd_desc cmd;
+
+ ifp = &sc->arpcom.ac_if;
+
+ /* Disable host interrupts. */
+ CSR_WRITE_4(sc, TI_MB_HOSTINTR, 1);
+ /*
+ * Tell firmware we're shutting down.
+ */
+ TI_DO_CMD(TI_CMD_HOST_STATE, TI_CMD_CODE_STACK_DOWN, 0);
+
+ /* Halt and reinitialize. */
+ ti_chipinit(sc);
+ ti_mem(sc, 0x2000, 0x100000 - 0x2000, NULL);
+ ti_chipinit(sc);
+
+ /* Free the RX lists. */
+ ti_free_rx_ring_std(sc);
+
+ /* Free jumbo RX list. */
+ ti_free_rx_ring_jumbo(sc);
+
+ /* Free mini RX list. */
+ ti_free_rx_ring_mini(sc);
+
+ /* Free TX buffers. */
+ ti_free_tx_ring(sc);
+
+ sc->ti_ev_prodidx.ti_idx = 0;
+ sc->ti_return_prodidx.ti_idx = 0;
+ sc->ti_tx_considx.ti_idx = 0;
+ sc->ti_tx_saved_considx = TI_TXCONS_UNSET;
+
+ ifp->if_flags &= ~(IFF_RUNNING | IFF_OACTIVE);
+
+ return;
+}
+
+/*
+ * Stop all chip I/O so that the kernel's probe routines don't
+ * get confused by errant DMAs when rebooting.
+ */
+static void ti_shutdown(howto, xsc)
+ int howto;
+ void *xsc;
+{
+ struct ti_softc *sc;
+
+ sc = xsc;
+
+ ti_chipinit(sc);
+
+ return;
+}
+
+static struct pci_device ti_device = {
+ "ti",
+ ti_probe,
+ ti_attach,
+ &ti_count,
+ NULL
+};
+DATA_SET(pcidevice_set, ti_device);
diff --git a/sys/pci/if_tireg.h b/sys/pci/if_tireg.h
new file mode 100644
index 0000000..73bb8a4
--- /dev/null
+++ b/sys/pci/if_tireg.h
@@ -0,0 +1,1190 @@
+/*
+ * Copyright (c) 1997, 1998, 1999
+ * Bill Paul <wpaul@ctr.columbia.edu>. All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without
+ * modification, are permitted provided that the following conditions
+ * are met:
+ * 1. Redistributions of source code must retain the above copyright
+ * notice, this list of conditions and the following disclaimer.
+ * 2. Redistributions in binary form must reproduce the above copyright
+ * notice, this list of conditions and the following disclaimer in the
+ * documentation and/or other materials provided with the distribution.
+ * 3. All advertising materials mentioning features or use of this software
+ * must display the following acknowledgement:
+ * This product includes software developed by Bill Paul.
+ * 4. Neither the name of the author nor the names of any co-contributors
+ * may be used to endorse or promote products derived from this software
+ * without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY Bill Paul AND CONTRIBUTORS ``AS IS'' AND
+ * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
+ * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
+ * ARE DISCLAIMED. IN NO EVENT SHALL Bill Paul OR THE VOICES IN HIS HEAD
+ * BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
+ * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
+ * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
+ * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
+ * CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
+ * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
+ * THE POSSIBILITY OF SUCH DAMAGE.
+ *
+ * $Id: if_tireg.h,v 1.43 1999/04/02 02:54:16 wpaul Exp $
+ */
+
+/*
+ * Tigon register offsets. These are memory mapped registers
+ * which can be accessed with the CSR_READ_4()/CSR_WRITE_4() macros.
+ * Each register must be accessed using 32 bit operations.
+ *
+ * All reegisters are accessed through a 16K shared memory block.
+ * The first group of registers are actually copies of the PCI
+ * configuration space registers.
+ */
+
+#define TI_PCI_ID 0x000 /* PCI device/vendor ID */
+#define TI_PCI_CMDSTAT 0x004
+#define TI_PCI_CLASSCODE 0x008
+#define TI_PCI_BIST 0x00C
+#define TI_PCI_LOMEM 0x010 /* Shared memory base address */
+#define TI_PCI_SUBSYS 0x02C
+#define TI_PCI_ROMBASE 0x030
+#define TI_PCI_INT 0x03C
+
+#ifndef PCIM_CMD_MWIEN
+#define PCIM_CMD_MWIEN 0x0010
+#endif
+
+/*
+ * Alteon AceNIC PCI vendor/device ID.
+ */
+#define ALT_VENDORID 0x12AE
+#define ALT_DEVICEID_ACENIC 0x0001
+
+/*
+ * 3Com 3c985 PCI vendor/device ID.
+ */
+#define TC_VENDORID 0x10B7
+#define TC_DEVICEID_3C985 0x0001
+
+/*
+ * Netgear GA620 PCI vendor/device ID.
+ */
+#define NG_VENDORID 0x1385
+#define NG_DEVICEID_GA620 0x620A
+
+/*
+ * SGI device/vendor ID.
+ */
+#define SGI_VENDORID 0x10A9
+#define SGI_DEVICEID_TIGON 0x0009
+
+/*
+ * Tigon configuration and control registers.
+ */
+#define TI_MISC_HOST_CTL 0x040
+#define TI_MISC_LOCAL_CTL 0x044
+#define TI_SEM_AB 0x048 /* Tigon 2 only */
+#define TI_MISC_CONF 0x050 /* Tigon 2 only */
+#define TI_TIMER_BITS 0x054
+#define TI_TIMERREF 0x058
+#define TI_PCI_STATE 0x05C
+#define TI_MAIN_EVENT_A 0x060
+#define TI_MAILBOX_EVENT_A 0x064
+#define TI_WINBASE 0x068
+#define TI_WINDATA 0x06C
+#define TI_MAIN_EVENT_B 0x070 /* Tigon 2 only */
+#define TI_MAILBOX_EVENT_B 0x074 /* Tigon 2 only */
+#define TI_TIMERREF_B 0x078 /* Tigon 2 only */
+#define TI_SERIAL 0x07C
+
+/*
+ * Misc host control bits.
+ */
+#define TI_MHC_INTSTATE 0x00000001
+#define TI_MHC_CLEARINT 0x00000002
+#define TI_MHC_RESET 0x00000008
+#define TI_MHC_BYTE_SWAP_ENB 0x00000010
+#define TI_MHC_WORD_SWAP_ENB 0x00000020
+#define TI_MHC_MASK_INTS 0x00000040
+#define TI_MHC_CHIP_REV_MASK 0xF0000000
+
+#define TI_MHC_BIGENDIAN_INIT \
+ (TI_MHC_BYTE_SWAP_ENB|TI_MHC_WORD_SWAP_ENB|TI_MHC_CLEARINT)
+
+#define TI_MHC_LITTLEENDIAN_INIT \
+ (TI_MHC_WORD_SWAP_ENB|TI_MHC_CLEARINT)
+
+/*
+ * Tigon chip rev values. Rev 4 is the Tigon 1. Rev 6 is the Tigon 2.
+ * Rev 5 is also the Tigon 2, but is a broken version which was never
+ * used in any actual hardware, so we ignore it.
+ */
+#define TI_REV_TIGON_I 0x40000000
+#define TI_REV_TIGON_II 0x60000000
+
+/*
+ * Firmware revision that we want.
+ */
+#define TI_FIRMWARE_MAJOR 0xc
+#define TI_FIRMWARE_MINOR 0x3
+#define TI_FIRMWARE_FIX 0x9
+
+/*
+ * Miscelaneous Local Control register.
+ */
+#define TI_MLC_EE_WRITE_ENB 0x00000010
+#define TI_MLC_SRAM_BANK_256K 0x00000200
+#define TI_MLC_SRAM_BANK_SIZE 0x00000300 /* Tigon 2 only */
+#define TI_MLC_LOCALADDR_21 0x00004000
+#define TI_MLC_LOCALADDR_22 0x00008000
+#define TI_MLC_SBUS_WRITEERR 0x00080000
+#define TI_MLC_EE_CLK 0x00100000
+#define TI_MLC_EE_TXEN 0x00200000
+#define TI_MLC_EE_DOUT 0x00400000
+#define TI_MLC_EE_DIN 0x00800000
+
+/*
+ * Offset of MAC address inside EEPROM.
+ */
+#define TI_EE_MAC_OFFSET 0x8c
+
+#define TI_DMA_ASSIST 0x11C
+#define TI_CPU_STATE 0x140
+#define TI_CPU_PROGRAM_COUNTER 0x144
+#define TI_SRAM_ADDR 0x154
+#define TI_SRAM_DATA 0x158
+#define TI_GEN_0 0x180
+#define TI_GEN_X 0x1FC
+#define TI_MAC_TX_STATE 0x200
+#define TI_MAC_RX_STATE 0x220
+#define TI_CPU_CTL_B 0x240 /* Tigon 2 only */
+#define TI_CPU_PROGRAM_COUNTER_B 0x244 /* Tigon 2 only */
+#define TI_SRAM_ADDR_B 0x254 /* Tigon 2 only */
+#define TI_SRAM_DATA_B 0x258 /* Tigon 2 only */
+#define TI_GEN_B_0 0x280 /* Tigon 2 only */
+#define TI_GEN_B_X 0x2FC /* Tigon 2 only */
+
+/*
+ * Misc config register.
+ */
+#define TI_MCR_SRAM_SYNCHRONOUS 0x00100000 /* Tigon 2 only */
+
+/*
+ * PCI state register.
+ */
+#define TI_PCISTATE_FORCE_RESET 0x00000001
+#define TI_PCISTATE_PROVIDE_LEN 0x00000002
+#define TI_PCISTATE_READ_MAXDMA 0x0000001C
+#define TI_PCISTATE_WRITE_MAXDMA 0x000000E0
+#define TI_PCISTATE_MINDMA 0x0000FF00
+#define TI_PCISTATE_FIFO_RETRY_ENB 0x00010000
+#define TI_PCISTATE_USE_MEM_RD_MULT 0x00020000
+#define TI_PCISTATE_NO_SWAP_READ_DMA 0x00040000
+#define TI_PCISTATE_NO_SWAP_WRITE_DMA 0x00080000
+#define TI_PCISTATE_66MHZ_BUS 0x00080000 /* Tigon 2 only */
+#define TI_PCISTATE_32BIT_BUS 0x00100000 /* Tigon 2 only */
+#define TI_PCISTATE_ENB_BYTE_ENABLES 0x00800000 /* Tigon 2 only */
+#define TI_PCISTATE_READ_CMD 0x0F000000
+#define TI_PCISTATE_WRITE_CMD 0xF0000000
+
+#define TI_PCI_READMAX_4 0x04
+#define TI_PCI_READMAX_16 0x08
+#define TI_PCI_READMAX_32 0x0C
+#define TI_PCI_READMAX_64 0x10
+#define TI_PCI_READMAX_128 0x14
+#define TI_PCI_READMAX_256 0x18
+#define TI_PCI_READMAX_1024 0x1C
+
+#define TI_PCI_WRITEMAX_4 0x20
+#define TI_PCI_WRITEMAX_16 0x40
+#define TI_PCI_WRITEMAX_32 0x60
+#define TI_PCI_WRITEMAX_64 0x80
+#define TI_PCI_WRITEMAX_128 0xA0
+#define TI_PCI_WRITEMAX_256 0xC0
+#define TI_PCI_WRITEMAX_1024 0xE0
+
+#define TI_PCI_READ_CMD 0x06000000
+#define TI_PCI_WRITE_CMD 0x70000000
+
+/*
+ * DMA state register.
+ */
+#define TI_DMASTATE_ENABLE 0x00000001
+#define TI_DMASTATE_PAUSE 0x00000002
+
+/*
+ * CPU state register.
+ */
+#define TI_CPUSTATE_RESET 0x00000001
+#define TI_CPUSTATE_STEP 0x00000002
+#define TI_CPUSTATE_ROMFAIL 0x00000010
+#define TI_CPUSTATE_HALT 0x00010000
+/*
+ * MAC TX state register
+ */
+#define TI_TXSTATE_RESET 0x00000001
+#define TI_TXSTATE_ENB 0x00000002
+#define TI_TXSTATE_STOP 0x00000004
+
+/*
+ * MAC RX state register
+ */
+#define TI_RXSTATE_RESET 0x00000001
+#define TI_RXSTATE_ENB 0x00000002
+#define TI_RXSTATE_STOP 0x00000004
+
+/*
+ * Tigon 2 mailbox registers. The mailbox area consists of 256 bytes
+ * split into 64 bit registers. Only the lower 32 bits of each mailbox
+ * are used.
+ */
+#define TI_MB_HOSTINTR_HI 0x500
+#define TI_MB_HOSTINTR_LO 0x504
+#define TI_MB_HOSTINTR TI_MB_HOSTINTR_LO
+#define TI_MB_CMDPROD_IDX_HI 0x508
+#define TI_MB_CMDPROD_IDX_LO 0x50C
+#define TI_MB_CMDPROD_IDX TI_MB_CMDPROD_IDX_LO
+#define TI_MB_SENDPROD_IDX_HI 0x510
+#define TI_MB_SENDPROD_IDX_LO 0x514
+#define TI_MB_SENDPROD_IDX TI_MB_SENDPROD_IDX_LO
+#define TI_MB_STDRXPROD_IDX_HI 0x518 /* Tigon 2 only */
+#define TI_MB_STDRXPROD_IDX_LO 0x51C /* Tigon 2 only */
+#define TI_MB_STDRXPROD_IDX TI_MB_STDRXPROD_IDX_LO
+#define TI_MB_JUMBORXPROD_IDX_HI 0x520 /* Tigon 2 only */
+#define TI_MB_JUMBORXPROD_IDX_LO 0x524 /* Tigon 2 only */
+#define TI_MB_JUMBORXPROD_IDX TI_MB_JUMBORXPROD_IDX_LO
+#define TI_MB_MINIRXPROD_IDX_HI 0x528 /* Tigon 2 only */
+#define TI_MB_MINIRXPROD_IDX_LO 0x52C /* Tigon 2 only */
+#define TI_MB_MINIRXPROD_IDX TI_MB_MINIRXPROD_IDX_LO
+#define TI_MB_RSVD 0x530
+
+/*
+ * Tigon 2 general communication registers. These are 64 and 32 bit
+ * registers which are only valid after the firmware has been
+ * loaded and started. They actually exist in NIC memory but are
+ * mapped into the host memory via the shared memory region.
+ *
+ * The NIC internally maps these registers starting at address 0,
+ * so to determine the NIC address of any of these registers, we
+ * subtract 0x600 (the address of the first register).
+ */
+
+#define TI_GCR_BASE 0x600
+#define TI_GCR_MACADDR 0x600
+#define TI_GCR_PAR0 0x600
+#define TI_GCR_PAR1 0x604
+#define TI_GCR_GENINFO_HI 0x608
+#define TI_GCR_GENINFO_LO 0x60C
+#define TI_GCR_MCASTADDR 0x610 /* obsolete */
+#define TI_GCR_MAR0 0x610 /* obsolete */
+#define TI_GCR_MAR1 0x614 /* obsolete */
+#define TI_GCR_OPMODE 0x618
+#define TI_GCR_DMA_READCFG 0x61C
+#define TI_GCR_DMA_WRITECFG 0x620
+#define TI_GCR_TX_BUFFER_RATIO 0x624
+#define TI_GCR_EVENTCONS_IDX 0x628
+#define TI_GCR_CMDCONS_IDX 0x62C
+#define TI_GCR_TUNEPARMS 0x630
+#define TI_GCR_RX_COAL_TICKS 0x630
+#define TI_GCR_TX_COAL_TICKS 0x634
+#define TI_GCR_STAT_TICKS 0x638
+#define TI_GCR_TX_MAX_COAL_BD 0x63C
+#define TI_GCR_RX_MAX_COAL_BD 0x640
+#define TI_GCR_NIC_TRACING 0x644
+#define TI_GCR_GLINK 0x648
+#define TI_GCR_LINK 0x64C
+#define TI_GCR_NICTRACE_PTR 0x650
+#define TI_GCR_NICTRACE_START 0x654
+#define TI_GCR_NICTRACE_LEN 0x658
+#define TI_GCR_IFINDEX 0x65C
+#define TI_GCR_IFMTU 0x660
+#define TI_GCR_MASK_INTRS 0x664
+#define TI_GCR_GLINK_STAT 0x668
+#define TI_GCR_LINK_STAT 0x66C
+#define TI_GCR_RXRETURNCONS_IDX 0x680
+#define TI_GCR_CMDRING 0x700
+
+#define TI_GCR_NIC_ADDR(x) (x - TI_GCR_BASE);
+
+/*
+ * Local memory window. The local memory window is a 2K shared
+ * memory region which can be used to access the NIC's internal
+ * SRAM. The window can be mapped to a given 2K region using
+ * the TI_WINDOW_BASE register.
+ */
+#define TI_WINDOW 0x800
+#define TI_WINLEN 0x800
+
+#define TI_TICKS_PER_SEC 1000000
+
+/*
+ * Operation mode register.
+ */
+#define TI_OPMODE_BYTESWAP_BD 0x00000002
+#define TI_OPMODE_WORDSWAP_BD 0x00000004
+#define TI_OPMODE_WARN_ENB 0x00000008 /* not yet implimented */
+#define TI_OPMODE_BYTESWAP_DATA 0x00000010
+#define TI_OPMODE_1_DMA_ACTIVE 0x00000040
+#define TI_OPMODE_SBUS 0x00000100
+#define TI_OPMODE_DONT_FRAG_JUMBO 0x00000200
+#define TI_OPMODE_INCLUDE_CRC 0x00000400
+#define TI_OPMODE_RX_BADFRAMES 0x00000800
+#define TI_OPMODE_NO_EVENT_INTRS 0x00001000
+#define TI_OPMODE_NO_TX_INTRS 0x00002000
+#define TI_OPMODE_NO_RX_INTRS 0x00004000
+#define TI_OPMODE_FATAL_ENB 0x40000000 /* not yet implimented */
+
+/*
+ * DMA configuration thresholds.
+ */
+#define TI_DMA_STATE_THRESH_16W 0x00000100
+#define TI_DMA_STATE_THRESH_8W 0x00000080
+#define TI_DMA_STATE_THRESH_4W 0x00000040
+#define TI_DMA_STATE_THRESH_2W 0x00000020
+#define TI_DMA_STATE_THRESH_1W 0x00000010
+
+#define TI_DMA_STATE_FORCE_32_BIT 0x00000008
+
+/*
+ * Gigabit link status bits.
+ */
+#define TI_GLNK_SENSE_NO_BEG 0x00002000
+#define TI_GLNK_LOOPBACK 0x00004000
+#define TI_GLNK_PREF 0x00008000
+#define TI_GLNK_1000MB 0x00040000
+#define TI_GLNK_FULL_DUPLEX 0x00080000
+#define TI_GLNK_TX_FLOWCTL_Y 0x00200000 /* Tigon 2 only */
+#define TI_GLNK_RX_FLOWCTL_Y 0x00800000
+#define TI_GLNK_AUTONEGENB 0x20000000
+#define TI_GLNK_ENB 0x40000000
+
+/*
+ * Link status bits.
+ */
+#define TI_LNK_LOOPBACK 0x00004000
+#define TI_LNK_PREF 0x00008000
+#define TI_LNK_10MB 0x00010000
+#define TI_LNK_100MB 0x00020000
+#define TI_LNK_1000MB 0x00040000
+#define TI_LNK_FULL_DUPLEX 0x00080000
+#define TI_LNK_HALF_DUPLEX 0x00100000
+#define TI_LNK_TX_FLOWCTL_Y 0x00200000 /* Tigon 2 only */
+#define TI_LNK_RX_FLOWCTL_Y 0x00800000
+#define TI_LNK_AUTONEGENB 0x20000000
+#define TI_LNK_ENB 0x40000000
+
+/*
+ * Ring size constants.
+ */
+#define TI_EVENT_RING_CNT 256
+#define TI_CMD_RING_CNT 64
+#define TI_STD_RX_RING_CNT 512
+#define TI_JUMBO_RX_RING_CNT 256
+#define TI_MINI_RX_RING_CNT 1024
+#define TI_RETURN_RING_CNT 2048
+
+/*
+ * Possible TX ring sizes.
+ */
+#define TI_TX_RING_CNT_128 128
+#define TI_TX_RING_BASE_128 0x3800
+
+#define TI_TX_RING_CNT_256 256
+#define TI_TX_RING_BASE_256 0x3000
+
+#define TI_TX_RING_CNT_512 512
+#define TI_TX_RING_BASE_512 0x2000
+
+#define TI_TX_RING_CNT TI_TX_RING_CNT_512
+#define TI_TX_RING_BASE TI_TX_RING_BASE_512
+
+/*
+ * The Tigon can have up to 8MB of external SRAM, however the Tigon 1
+ * is limited to 2MB total, and in general I think most adapters have
+ * around 1MB. We use this value for zeroing the NIC's SRAM, so to
+ * be safe we use the largest possible value (zeroing memory that
+ * isn't there doesn't hurt anything).
+ */
+#define TI_MEM_MAX 0x7FFFFF
+
+/*
+ * Even on the alpha, pci addresses are 32-bit quantities
+ */
+
+#ifdef __64_bit_pci_addressing__
+typedef struct {
+ u_int64_t ti_addr;
+} ti_hostaddr;
+#define TI_HOSTADDR(x) x.ti_addr
+#else
+typedef struct {
+ u_int32_t ti_addr_hi;
+ u_int32_t ti_addr_lo;
+} ti_hostaddr;
+#define TI_HOSTADDR(x) x.ti_addr_lo
+#endif
+
+/*
+ * Ring control block structure. The rules for the max_len field
+ * are as follows:
+ *
+ * For the send ring, max_len indicates the number of entries in the
+ * ring (128, 256 or 512).
+ *
+ * For the standard receive ring, max_len indicates the threshold
+ * used to decide when a frame should be put in the jumbo receive ring
+ * instead of the standard one.
+ *
+ * For the mini ring, max_len indicates the size of the buffers in the
+ * ring. This is the value used to decide when a frame is small enough
+ * to be placed in the mini ring.
+ *
+ * For the return receive ring, max_len indicates the number of entries
+ * in the ring. It can be one of 2048, 1024 or 0 (which is the same as
+ * 2048 for backwards compatibility). The value 1024 can only be used
+ * if the mini ring is disabled.
+ */
+struct ti_rcb {
+ ti_hostaddr ti_hostaddr;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_max_len;
+ u_int16_t ti_flags;
+#else
+ u_int16_t ti_flags;
+ u_int16_t ti_max_len;
+#endif
+ u_int32_t ti_unused;
+};
+
+#define TI_RCB_FLAG_TCP_UDP_CKSUM 0x00000001
+#define TI_RCB_FLAG_IP_CKSUM 0x00000002
+#define TI_RCB_FLAG_NO_PHDR_CKSUM 0x00000008
+#define TI_RCB_FLAG_VLAN_ASSIST 0x00000010
+#define TI_RCB_FLAG_COAL_UPD_ONLY 0x00000020
+#define TI_RCB_FLAG_HOST_RING 0x00000040
+#define TI_RCB_FLAG_IEEE_SNAP_CKSUM 0x00000080
+#define TI_RCB_FLAG_USE_EXT_RX_BD 0x00000100
+#define TI_RCB_FLAG_RING_DISABLED 0x00000200
+
+struct ti_producer {
+ u_int32_t ti_idx;
+ u_int32_t ti_unused;
+};
+
+/*
+ * Tigon statistics counters.
+ */
+struct ti_stats {
+ /*
+ * MAC stats, taken from RFC 1643, ethernet-like MIB
+ */
+ volatile u_int32_t dot3StatsAlignmentErrors; /* 0 */
+ volatile u_int32_t dot3StatsFCSErrors; /* 1 */
+ volatile u_int32_t dot3StatsSingleCollisionFrames; /* 2 */
+ volatile u_int32_t dot3StatsMultipleCollisionFrames; /* 3 */
+ volatile u_int32_t dot3StatsSQETestErrors; /* 4 */
+ volatile u_int32_t dot3StatsDeferredTransmissions; /* 5 */
+ volatile u_int32_t dot3StatsLateCollisions; /* 6 */
+ volatile u_int32_t dot3StatsExcessiveCollisions; /* 7 */
+ volatile u_int32_t dot3StatsInternalMacTransmitErrors; /* 8 */
+ volatile u_int32_t dot3StatsCarrierSenseErrors; /* 9 */
+ volatile u_int32_t dot3StatsFrameTooLongs; /* 10 */
+ volatile u_int32_t dot3StatsInternalMacReceiveErrors; /* 11 */
+ /*
+ * interface stats, taken from RFC 1213, MIB-II, interfaces group
+ */
+ volatile u_int32_t ifIndex; /* 12 */
+ volatile u_int32_t ifType; /* 13 */
+ volatile u_int32_t ifMtu; /* 14 */
+ volatile u_int32_t ifSpeed; /* 15 */
+ volatile u_int32_t ifAdminStatus; /* 16 */
+#define IF_ADMIN_STATUS_UP 1
+#define IF_ADMIN_STATUS_DOWN 2
+#define IF_ADMIN_STATUS_TESTING 3
+ volatile u_int32_t ifOperStatus; /* 17 */
+#define IF_OPER_STATUS_UP 1
+#define IF_OPER_STATUS_DOWN 2
+#define IF_OPER_STATUS_TESTING 3
+#define IF_OPER_STATUS_UNKNOWN 4
+#define IF_OPER_STATUS_DORMANT 5
+ volatile u_int32_t ifLastChange; /* 18 */
+ volatile u_int32_t ifInDiscards; /* 19 */
+ volatile u_int32_t ifInErrors; /* 20 */
+ volatile u_int32_t ifInUnknownProtos; /* 21 */
+ volatile u_int32_t ifOutDiscards; /* 22 */
+ volatile u_int32_t ifOutErrors; /* 23 */
+ volatile u_int32_t ifOutQLen; /* deprecated */ /* 24 */
+ volatile u_int8_t ifPhysAddress[8]; /* 8 bytes */ /* 25 - 26 */
+ volatile u_int8_t ifDescr[32]; /* 27 - 34 */
+ u_int32_t alignIt; /* align to 64 bit for u_int64_ts following */
+ /*
+ * more interface stats, taken from RFC 1573, MIB-IIupdate,
+ * interfaces group
+ */
+ volatile u_int64_t ifHCInOctets; /* 36 - 37 */
+ volatile u_int64_t ifHCInUcastPkts; /* 38 - 39 */
+ volatile u_int64_t ifHCInMulticastPkts; /* 40 - 41 */
+ volatile u_int64_t ifHCInBroadcastPkts; /* 42 - 43 */
+ volatile u_int64_t ifHCOutOctets; /* 44 - 45 */
+ volatile u_int64_t ifHCOutUcastPkts; /* 46 - 47 */
+ volatile u_int64_t ifHCOutMulticastPkts; /* 48 - 49 */
+ volatile u_int64_t ifHCOutBroadcastPkts; /* 50 - 51 */
+ volatile u_int32_t ifLinkUpDownTrapEnable; /* 52 */
+ volatile u_int32_t ifHighSpeed; /* 53 */
+ volatile u_int32_t ifPromiscuousMode; /* 54 */
+ volatile u_int32_t ifConnectorPresent; /* follow link state 55 */
+ /*
+ * Host Commands
+ */
+ volatile u_int32_t nicCmdsHostState; /* 56 */
+ volatile u_int32_t nicCmdsFDRFiltering; /* 57 */
+ volatile u_int32_t nicCmdsSetRecvProdIndex; /* 58 */
+ volatile u_int32_t nicCmdsUpdateGencommStats; /* 59 */
+ volatile u_int32_t nicCmdsResetJumboRing; /* 60 */
+ volatile u_int32_t nicCmdsAddMCastAddr; /* 61 */
+ volatile u_int32_t nicCmdsDelMCastAddr; /* 62 */
+ volatile u_int32_t nicCmdsSetPromiscMode; /* 63 */
+ volatile u_int32_t nicCmdsLinkNegotiate; /* 64 */
+ volatile u_int32_t nicCmdsSetMACAddr; /* 65 */
+ volatile u_int32_t nicCmdsClearProfile; /* 66 */
+ volatile u_int32_t nicCmdsSetMulticastMode; /* 67 */
+ volatile u_int32_t nicCmdsClearStats; /* 68 */
+ volatile u_int32_t nicCmdsSetRecvJumboProdIndex; /* 69 */
+ volatile u_int32_t nicCmdsSetRecvMiniProdIndex; /* 70 */
+ volatile u_int32_t nicCmdsRefreshStats; /* 71 */
+ volatile u_int32_t nicCmdsUnknown; /* 72 */
+ /*
+ * NIC Events
+ */
+ volatile u_int32_t nicEventsNICFirmwareOperational; /* 73 */
+ volatile u_int32_t nicEventsStatsUpdated; /* 74 */
+ volatile u_int32_t nicEventsLinkStateChanged; /* 75 */
+ volatile u_int32_t nicEventsError; /* 76 */
+ volatile u_int32_t nicEventsMCastListUpdated; /* 77 */
+ volatile u_int32_t nicEventsResetJumboRing; /* 78 */
+ /*
+ * Ring manipulation
+ */
+ volatile u_int32_t nicRingSetSendProdIndex; /* 79 */
+ volatile u_int32_t nicRingSetSendConsIndex; /* 80 */
+ volatile u_int32_t nicRingSetRecvReturnProdIndex; /* 81 */
+ /*
+ * Interrupts
+ */
+ volatile u_int32_t nicInterrupts; /* 82 */
+ volatile u_int32_t nicAvoidedInterrupts; /* 83 */
+ /*
+ * BD Coalessing Thresholds
+ */
+ volatile u_int32_t nicEventThresholdHit; /* 84 */
+ volatile u_int32_t nicSendThresholdHit; /* 85 */
+ volatile u_int32_t nicRecvThresholdHit; /* 86 */
+ /*
+ * DMA Attentions
+ */
+ volatile u_int32_t nicDmaRdOverrun; /* 87 */
+ volatile u_int32_t nicDmaRdUnderrun; /* 88 */
+ volatile u_int32_t nicDmaWrOverrun; /* 89 */
+ volatile u_int32_t nicDmaWrUnderrun; /* 90 */
+ volatile u_int32_t nicDmaWrMasterAborts; /* 91 */
+ volatile u_int32_t nicDmaRdMasterAborts; /* 92 */
+ /*
+ * NIC Resources
+ */
+ volatile u_int32_t nicDmaWriteRingFull; /* 93 */
+ volatile u_int32_t nicDmaReadRingFull; /* 94 */
+ volatile u_int32_t nicEventRingFull; /* 95 */
+ volatile u_int32_t nicEventProducerRingFull; /* 96 */
+ volatile u_int32_t nicTxMacDescrRingFull; /* 97 */
+ volatile u_int32_t nicOutOfTxBufSpaceFrameRetry; /* 98 */
+ volatile u_int32_t nicNoMoreWrDMADescriptors; /* 99 */
+ volatile u_int32_t nicNoMoreRxBDs; /* 100 */
+ volatile u_int32_t nicNoSpaceInReturnRing; /* 101 */
+ volatile u_int32_t nicSendBDs; /* current count 102 */
+ volatile u_int32_t nicRecvBDs; /* current count 103 */
+ volatile u_int32_t nicJumboRecvBDs; /* current count 104 */
+ volatile u_int32_t nicMiniRecvBDs; /* current count 105 */
+ volatile u_int32_t nicTotalRecvBDs; /* current count 106 */
+ volatile u_int32_t nicTotalSendBDs; /* current count 107 */
+ volatile u_int32_t nicJumboSpillOver; /* 108 */
+ volatile u_int32_t nicSbusHangCleared; /* 109 */
+ volatile u_int32_t nicEnqEventDelayed; /* 110 */
+ /*
+ * Stats from MAC rx completion
+ */
+ volatile u_int32_t nicMacRxLateColls; /* 111 */
+ volatile u_int32_t nicMacRxLinkLostDuringPkt; /* 112 */
+ volatile u_int32_t nicMacRxPhyDecodeErr; /* 113 */
+ volatile u_int32_t nicMacRxMacAbort; /* 114 */
+ volatile u_int32_t nicMacRxTruncNoResources; /* 115 */
+ /*
+ * Stats from the mac_stats area
+ */
+ volatile u_int32_t nicMacRxDropUla; /* 116 */
+ volatile u_int32_t nicMacRxDropMcast; /* 117 */
+ volatile u_int32_t nicMacRxFlowControl; /* 118 */
+ volatile u_int32_t nicMacRxDropSpace; /* 119 */
+ volatile u_int32_t nicMacRxColls; /* 120 */
+ /*
+ * MAC RX Attentions
+ */
+ volatile u_int32_t nicMacRxTotalAttns; /* 121 */
+ volatile u_int32_t nicMacRxLinkAttns; /* 122 */
+ volatile u_int32_t nicMacRxSyncAttns; /* 123 */
+ volatile u_int32_t nicMacRxConfigAttns; /* 124 */
+ volatile u_int32_t nicMacReset; /* 125 */
+ volatile u_int32_t nicMacRxBufDescrAttns; /* 126 */
+ volatile u_int32_t nicMacRxBufAttns; /* 127 */
+ volatile u_int32_t nicMacRxZeroFrameCleanup; /* 128 */
+ volatile u_int32_t nicMacRxOneFrameCleanup; /* 129 */
+ volatile u_int32_t nicMacRxMultipleFrameCleanup; /* 130 */
+ volatile u_int32_t nicMacRxTimerCleanup; /* 131 */
+ volatile u_int32_t nicMacRxDmaCleanup; /* 132 */
+ /*
+ * Stats from the mac_stats area
+ */
+ volatile u_int32_t nicMacTxCollisionHistogram[15]; /* 133 */
+ /*
+ * MAC TX Attentions
+ */
+ volatile u_int32_t nicMacTxTotalAttns; /* 134 */
+ /*
+ * NIC Profile
+ */
+ volatile u_int32_t nicProfile[32]; /* 135 */
+ /*
+ * Pat to 1024 bytes.
+ */
+ u_int32_t pad[75];
+};
+/*
+ * Tigon general information block. This resides in host memory
+ * and contains the status counters, ring control blocks and
+ * producer pointers.
+ */
+
+struct ti_gib {
+ struct ti_stats ti_stats;
+ struct ti_rcb ti_ev_rcb;
+ struct ti_rcb ti_cmd_rcb;
+ struct ti_rcb ti_tx_rcb;
+ struct ti_rcb ti_std_rx_rcb;
+ struct ti_rcb ti_jumbo_rx_rcb;
+ struct ti_rcb ti_mini_rx_rcb;
+ struct ti_rcb ti_return_rcb;
+ ti_hostaddr ti_ev_prodidx_ptr;
+ ti_hostaddr ti_return_prodidx_ptr;
+ ti_hostaddr ti_tx_considx_ptr;
+ ti_hostaddr ti_refresh_stats_ptr;
+};
+
+/*
+ * Buffer descriptor structures. There are basically three types
+ * of structures: normal receive descriptors, extended receive
+ * descriptors and transmit descriptors. The extended receive
+ * descriptors are optionally used only for the jumbo receive ring.
+ */
+
+struct ti_rx_desc {
+ ti_hostaddr ti_addr;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_idx;
+ u_int16_t ti_len;
+#else
+ u_int16_t ti_len;
+ u_int16_t ti_idx;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_type;
+ u_int16_t ti_flags;
+#else
+ u_int16_t ti_flags;
+ u_int16_t ti_type;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_ip_cksum;
+ u_int16_t ti_tcp_udp_cksum;
+#else
+ u_int16_t ti_tcp_udp_cksum;
+ u_int16_t ti_ip_cksum;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_error_flags;
+ u_int16_t ti_vlan_tag;
+#else
+ u_int16_t ti_vlan_tag;
+ u_int16_t ti_error_flags;
+#endif
+ u_int32_t ti_rsvd;
+ u_int32_t ti_opaque;
+};
+
+struct ti_rx_desc_ext {
+ ti_hostaddr ti_addr1;
+ ti_hostaddr ti_addr2;
+ ti_hostaddr ti_addr3;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_len1;
+ u_int16_t ti_len2;
+#else
+ u_int16_t ti_len2;
+ u_int16_t ti_len1;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_len3;
+ u_int16_t ti_rsvd0;
+#else
+ u_int16_t ti_rsvd0;
+ u_int16_t ti_len3;
+#endif
+ ti_hostaddr ti_addr0;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_idx;
+ u_int16_t ti_len0;
+#else
+ u_int16_t ti_len0;
+ u_int16_t ti_idx;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_type;
+ u_int16_t ti_flags;
+#else
+ u_int16_t ti_flags;
+ u_int16_t ti_type;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_ip_cksum;
+ u_int16_t ti_tcp_udp_cksum;
+#else
+ u_int16_t ti_tcp_udp_cksum;
+ u_int16_t ti_ip_cksum;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_error_flags;
+ u_int16_t ti_vlan_tag;
+#else
+ u_int16_t ti_vlan_tag;
+ u_int16_t ti_error_flags;
+#endif
+ u_int32_t ti_rsvd1;
+ u_int32_t ti_opaque;
+};
+
+/*
+ * Transmit descriptors are, mercifully, very small.
+ */
+struct ti_tx_desc {
+ ti_hostaddr ti_addr;
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_len;
+ u_int16_t ti_flags;
+#else
+ u_int16_t ti_flags;
+ u_int16_t ti_len;
+#endif
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int16_t ti_rsvd;
+ u_int16_t ti_vlan_tag;
+#else
+ u_int16_t ti_vlan_tag;
+ u_int16_t ti_rsvd;
+#endif
+};
+
+/*
+ * NOTE! On the Alpha, we have an alignment constraint.
+ * The first thing in the packet is a 14-byte Ethernet header.
+ * This means that the packet is misaligned. To compensate,
+ * we actually offset the data 2 bytes into the cluster. This
+ * alignes the packet after the Ethernet header at a 32-bit
+ * boundary.
+ */
+
+#ifdef __alpha__
+#define ETHER_ALIGN 2
+#endif
+
+#ifdef __i386__
+#define ETHER_ALIGN 0
+#endif
+
+
+#define TI_FRAMELEN 1518
+#define TI_JUMBO_FRAMELEN 9018 + ETHER_ALIGN
+#define TI_JUMBO_MTU (TI_JUMBO_FRAMELEN-ETHER_HDR_LEN-ETHER_CRC_LEN)
+#define TI_PAGE_SIZE PAGE_SIZE
+#define TI_MIN_FRAMELEN 60
+
+/*
+ * Buffer descriptor error flags.
+ */
+#define TI_BDERR_CRC 0x0001
+#define TI_BDERR_COLLDETECT 0x0002
+#define TI_BDERR_LINKLOST 0x0004
+#define TI_BDERR_DECODE 0x0008
+#define TI_BDERR_ODD_NIBBLES 0x0010
+#define TI_BDERR_MAC_ABRT 0x0020
+#define TI_BDERR_RUNT 0x0040
+#define TI_BDERR_TRUNC 0x0080
+#define TI_BDERR_GIANT 0x0100
+
+/*
+ * Buffer descriptor flags.
+ */
+#define TI_BDFLAG_TCP_UDP_CKSUM 0x0001
+#define TI_BDFLAG_IP_CKSUM 0x0002
+#define TI_BDFLAG_END 0x0004
+#define TI_BDFLAG_MORE 0x0008
+#define TI_BDFLAG_JUMBO_RING 0x0010
+#define TI_BDFLAG_UCAST_PKT 0x0020
+#define TI_BDFLAG_MCAST_PKT 0x0040
+#define TI_BDFLAG_BCAST_PKT 0x0060
+#define TI_BDFLAG_IP_FRAG 0x0080
+#define TI_BDFLAG_IP_FRAG_END 0x0100
+#define TI_BDFLAG_VLAN_TAG 0x0200
+#define TI_BDFLAG_ERROR 0x0400
+#define TI_BDFLAG_COAL_NOW 0x0800
+#define TI_BDFLAG_MINI_RING 0x1000
+
+/*
+ * Descriptor type flags. I think these only have meaning for
+ * the Tigon 1. I had to extract them from the sample driver source
+ * since they aren't in the manual.
+ */
+#define TI_BDTYPE_TYPE_NULL 0x0000
+#define TI_BDTYPE_SEND_BD 0x0001
+#define TI_BDTYPE_RECV_BD 0x0002
+#define TI_BDTYPE_RECV_JUMBO_BD 0x0003
+#define TI_BDTYPE_RECV_BD_LAST 0x0004
+#define TI_BDTYPE_SEND_DATA 0x0005
+#define TI_BDTYPE_SEND_DATA_LAST 0x0006
+#define TI_BDTYPE_RECV_DATA 0x0007
+#define TI_BDTYPE_RECV_DATA_LAST 0x000b
+#define TI_BDTYPE_EVENT_RUPT 0x000c
+#define TI_BDTYPE_EVENT_NO_RUPT 0x000d
+#define TI_BDTYPE_ODD_START 0x000e
+#define TI_BDTYPE_UPDATE_STATS 0x000f
+#define TI_BDTYPE_SEND_DUMMY_DMA 0x0010
+#define TI_BDTYPE_EVENT_PROD 0x0011
+#define TI_BDTYPE_TX_CONS 0x0012
+#define TI_BDTYPE_RX_PROD 0x0013
+#define TI_BDTYPE_REFRESH_STATS 0x0014
+#define TI_BDTYPE_SEND_DATA_LAST_VLAN 0x0015
+#define TI_BDTYPE_SEND_DATA_COAL 0x0016
+#define TI_BDTYPE_SEND_DATA_LAST_COAL 0x0017
+#define TI_BDTYPE_SEND_DATA_LAST_VLAN_COAL 0x0018
+#define TI_BDTYPE_TX_CONS_NO_INTR 0x0019
+
+/*
+ * Tigon command structure.
+ */
+struct ti_cmd_desc {
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int32_t ti_cmd:8;
+ u_int32_t ti_code:12;
+ u_int32_t ti_idx:12;
+#else
+ u_int32_t ti_idx:12;
+ u_int32_t ti_code:12;
+ u_int32_t ti_cmd:8;
+#endif
+};
+
+#define TI_CMD_HOST_STATE 0x01
+#define TI_CMD_CODE_STACK_UP 0x01
+#define TI_CMD_CODE_STACK_DOWN 0x02
+
+/*
+ * This command enables software address filtering. It's a workaround
+ * for a bug in the Tigon 1 and not implemented for the Tigon 2.
+ */
+#define TI_CMD_FDR_FILTERING 0x02
+#define TI_CMD_CODE_FILT_ENB 0x01
+#define TI_CMD_CODE_FILT_DIS 0x02
+
+#define TI_CMD_SET_RX_PROD_IDX 0x03 /* obsolete */
+#define TI_CMD_UPDATE_GENCOM 0x04
+#define TI_CMD_RESET_JUMBO_RING 0x05
+#define TI_CMD_SET_PARTIAL_RX_CNT 0x06
+#define TI_CMD_ADD_MCAST_ADDR 0x08 /* obsolete */
+#define TI_CMD_DEL_MCAST_ADDR 0x09 /* obsolete */
+
+#define TI_CMD_SET_PROMISC_MODE 0x0A
+#define TI_CMD_CODE_PROMISC_ENB 0x01
+#define TI_CMD_CODE_PROMISC_DIS 0x02
+
+#define TI_CMD_LINK_NEGOTIATION 0x0B
+#define TI_CMD_CODE_NEGOTIATE_BOTH 0x00
+#define TI_CMD_CODE_NEGOTIATE_GIGABIT 0x01
+#define TI_CMD_CODE_NEGOTIATE_10_100 0x02
+
+#define TI_CMD_SET_MAC_ADDR 0x0C
+#define TI_CMD_CLR_PROFILE 0x0D
+
+#define TI_CMD_SET_ALLMULTI 0x0E
+#define TI_CMD_CODE_ALLMULTI_ENB 0x01
+#define TI_CMD_CODE_ALLMULTI_DIS 0x02
+
+#define TI_CMD_CLR_STATS 0x0F
+#define TI_CMD_SET_RX_JUMBO_PROD_IDX 0x10 /* obsolete */
+#define TI_CMD_RFRSH_STATS 0x11
+
+#define TI_CMD_EXT_ADD_MCAST 0x12
+#define TI_CMD_EXT_DEL_MCAST 0x13
+
+/*
+ * Utility macros to make issuing commands a little simpler. Assumes
+ * that 'sc' and 'cmd' are in local scope.
+ */
+#define TI_DO_CMD(x, y, z) \
+ cmd.ti_cmd = x; \
+ cmd.ti_code = y; \
+ cmd.ti_idx = z; \
+ ti_cmd(sc, &cmd);
+
+#define TI_DO_CMD_EXT(x, y, z, v, w) \
+ cmd.ti_cmd = x; \
+ cmd.ti_code = y; \
+ cmd.ti_idx = z; \
+ ti_cmd_ext(sc, &cmd, v, w);
+
+/*
+ * Other utility macros.
+ */
+#define TI_INC(x, y) (x) = (x + 1) % y
+
+#define TI_UPDATE_JUMBOPROD(x, y) \
+ if (x->ti_hwrev == TI_HWREV_TIGON) { \
+ TI_DO_CMD(TI_CMD_SET_RX_JUMBO_PROD_IDX, 0, y); \
+ } else { \
+ CSR_WRITE_4(x, TI_MB_JUMBORXPROD_IDX, y); \
+ }
+
+#define TI_UPDATE_MINIPROD(x, y) \
+ CSR_WRITE_4(x, TI_MB_MINIRXPROD_IDX, y);
+
+#define TI_UPDATE_STDPROD(x, y) \
+ if (x->ti_hwrev == TI_HWREV_TIGON) { \
+ TI_DO_CMD(TI_CMD_SET_RX_PROD_IDX, 0, y); \
+ } else { \
+ CSR_WRITE_4(x, TI_MB_STDRXPROD_IDX, y); \
+ }
+
+
+/*
+ * Tigon event structure.
+ */
+struct ti_event_desc {
+#if BYTE_ORDER == BIG_ENDIAN
+ u_int32_t ti_event:8;
+ u_int32_t ti_code:12;
+ u_int32_t ti_idx:12;
+#else
+ u_int32_t ti_idx:12;
+ u_int32_t ti_code:12;
+ u_int32_t ti_event:8;
+#endif
+ u_int32_t ti_rsvd;
+};
+
+/*
+ * Tigon events.
+ */
+#define TI_EV_FIRMWARE_UP 0x01
+#define TI_EV_STATS_UPDATED 0x04
+
+#define TI_EV_LINKSTAT_CHANGED 0x06
+#define TI_EV_CODE_GIG_LINK_UP 0x01
+#define TI_EV_CODE_LINK_DOWN 0x02
+#define TI_EV_CODE_LINK_UP 0x03
+
+#define TI_EV_ERROR 0x07
+#define TI_EV_CODE_ERR_INVAL_CMD 0x01
+#define TI_EV_CODE_ERR_UNIMP_CMD 0x02
+#define TI_EV_CODE_ERR_BADCFG 0x03
+
+#define TI_EV_MCAST_UPDATED 0x08
+#define TI_EV_CODE_MCAST_ADD 0x01
+#define TI_EV_CODE_MCAST_DEL 0x02
+
+#define TI_EV_RESET_JUMBO_RING 0x09
+/*
+ * Register access macros. The Tigon always uses memory mapped register
+ * accesses and all registers must be accessed with 32 bit operations.
+ */
+
+#define CSR_WRITE_4(sc, reg, val) \
+ bus_space_write_4(sc->ti_btag, sc->ti_bhandle, reg, val)
+
+#define CSR_READ_4(sc, reg) \
+ bus_space_read_4(sc->ti_btag, sc->ti_bhandle, reg)
+
+#define TI_SETBIT(sc, reg, x) \
+ CSR_WRITE_4(sc, reg, (CSR_READ_4(sc, reg) | x))
+#define TI_CLRBIT(sc, reg, x) \
+ CSR_WRITE_4(sc, reg, (CSR_READ_4(sc, reg) & ~x))
+
+/*
+ * Memory management stuff. Note: the SSLOTS, MSLOTS and JSLOTS
+ * values are tuneable. They control the actual amount of buffers
+ * allocated for the standard, mini and jumbo receive rings.
+ */
+
+#define TI_SSLOTS 256
+#define TI_MSLOTS 256
+#define TI_JSLOTS 256
+
+#define TI_JRAWLEN (TI_JUMBO_FRAMELEN + sizeof(u_int64_t))
+#define TI_JLEN (TI_JRAWLEN + (sizeof(u_int64_t) - \
+ (TI_JRAWLEN % sizeof(u_int64_t))))
+#define TI_JPAGESZ PAGE_SIZE
+#define TI_RESID (TI_JPAGESZ - (TI_JLEN * TI_JSLOTS) % TI_JPAGESZ)
+#define TI_JMEM ((TI_JLEN * TI_JSLOTS) + TI_RESID)
+
+struct ti_jslot {
+ caddr_t ti_buf;
+ int ti_inuse;
+};
+
+/*
+ * Ring structures. Most of these reside in host memory and we tell
+ * the NIC where they are via the ring control blocks. The exceptions
+ * are the tx and command rings, which live in NIC memory and which
+ * we access via the shared memory window.
+ */
+struct ti_ring_data {
+ struct ti_rx_desc ti_rx_std_ring[TI_STD_RX_RING_CNT];
+ struct ti_rx_desc ti_rx_jumbo_ring[TI_JUMBO_RX_RING_CNT];
+ struct ti_rx_desc ti_rx_mini_ring[TI_MINI_RX_RING_CNT];
+ struct ti_rx_desc ti_rx_return_ring[TI_RETURN_RING_CNT];
+ struct ti_event_desc ti_event_ring[TI_EVENT_RING_CNT];
+ struct ti_tx_desc ti_tx_ring[TI_TX_RING_CNT];
+ /*
+ * Make sure producer structures are aligned on 32-byte cache
+ * line boundaries.
+ */
+ struct ti_producer ti_ev_prodidx_r;
+ u_int32_t ti_pad0[6];
+ struct ti_producer ti_return_prodidx_r;
+ u_int32_t ti_pad1[6];
+ struct ti_producer ti_tx_considx_r;
+ u_int32_t ti_pad2[6];
+ struct ti_tx_desc *ti_tx_ring_nic;/* pointer to shared mem */
+ struct ti_cmd_desc *ti_cmd_ring; /* pointer to shared mem */
+ struct ti_gib ti_info;
+};
+
+/*
+ * Mbuf pointers. We need these to keep track of the virtual addresses
+ * of our mbuf chains since we can only convert from physical to virtual,
+ * not the other way around.
+ */
+struct ti_chain_data {
+ struct mbuf *ti_tx_chain[TI_TX_RING_CNT];
+ struct mbuf *ti_rx_std_chain[TI_STD_RX_RING_CNT];
+ struct mbuf *ti_rx_jumbo_chain[TI_JUMBO_RX_RING_CNT];
+ struct mbuf *ti_rx_mini_chain[TI_MINI_RX_RING_CNT];
+ /* Stick the jumbo mem management stuff here too. */
+ struct ti_jslot ti_jslots[TI_JSLOTS];
+ void *ti_jumbo_buf;
+};
+
+struct ti_type {
+ u_int16_t ti_vid;
+ u_int16_t ti_did;
+ char *ti_name;
+};
+
+#define TI_HWREV_TIGON 0x01
+#define TI_HWREV_TIGON_II 0x02
+#define TI_TIMEOUT 1000
+#define TI_TXCONS_UNSET 0xFFFF /* impossible value */
+
+struct ti_mc_entry {
+ struct ether_addr mc_addr;
+ SLIST_ENTRY(ti_mc_entry) mc_entries;
+};
+
+struct ti_jpool_entry {
+ int slot;
+ SLIST_ENTRY(ti_jpool_entry) jpool_entries;
+};
+
+struct ti_softc {
+ struct arpcom arpcom; /* interface info */
+ bus_space_handle_t ti_bhandle;
+#ifdef __alpha__
+ vm_offset_t ti_vhandle; /* alpha dense space vaddr */
+#endif
+ bus_space_tag_t ti_btag;
+ struct ifmedia ifmedia; /* media info */
+ u_int8_t ti_unit; /* interface number */
+ u_int8_t ti_hwrev; /* Tigon rev (1 or 2) */
+ u_int8_t ti_linkstat; /* Link state */
+ caddr_t ti_rdata_ptr; /* Raw ring data */
+ struct ti_ring_data *ti_rdata; /* rings */
+ struct ti_chain_data ti_cdata; /* mbufs */
+#define ti_ev_prodidx ti_rdata->ti_ev_prodidx_r
+#define ti_return_prodidx ti_rdata->ti_return_prodidx_r
+#define ti_tx_considx ti_rdata->ti_tx_considx_r
+ u_int16_t ti_tx_saved_considx;
+ u_int16_t ti_rx_saved_considx;
+ u_int16_t ti_ev_saved_considx;
+ u_int16_t ti_cmd_saved_prodidx;
+ u_int16_t ti_std; /* current std ring head */
+ u_int16_t ti_mini; /* current mini ring head */
+ u_int16_t ti_jumbo; /* current jumo ring head */
+ u_int16_t ti_std_old;
+ u_int16_t ti_mini_old;
+ u_int16_t ti_jumbo_old;
+ u_int16_t ti_std_cnt;
+ u_int16_t ti_mini_cnt;
+ u_int16_t ti_jumbo_cnt;
+ SLIST_HEAD(__ti_mchead, ti_mc_entry) ti_mc_listhead;
+ SLIST_HEAD(__ti_jfreehead, ti_jpool_entry) ti_jfree_listhead;
+ SLIST_HEAD(__ti_jinusehead, ti_jpool_entry) ti_jinuse_listhead;
+ u_int32_t ti_stat_ticks;
+ u_int32_t ti_rx_coal_ticks;
+ u_int32_t ti_tx_coal_ticks;
+ u_int32_t ti_rx_max_coal_bds;
+ u_int32_t ti_tx_max_coal_bds;
+ u_int32_t ti_tx_buf_ratio;
+ int ti_if_flags;
+};
+
+/*
+ * Microchip Technology 24Cxx EEPROM control bytes
+ */
+#define EEPROM_CTL_READ 0xA1 /* 0101 0001 */
+#define EEPROM_CTL_WRITE 0xA0 /* 0101 0000 */
+
+/*
+ * Note that EEPROM_START leaves transmission enabled.
+ */
+#define EEPROM_START \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK); /* Pull clock pin high */\
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT); /* Set DATA bit to 1 */ \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN); /* Enable xmit to write bit */\
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT); /* Pull DATA bit to 0 again */\
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK); /* Pull clock low again */
+
+/*
+ * EEPROM_STOP ends access to the EEPROM and clears the ETXEN bit so
+ * that no further data can be written to the EEPROM I/O pin.
+ */
+#define EEPROM_STOP \
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN); /* Disable xmit */ \
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT); /* Pull DATA to 0 */ \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK); /* Pull clock high */ \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN); /* Enable xmit */ \
+ TI_SETBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_DOUT); /* Toggle DATA to 1 */ \
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_TXEN); /* Disable xmit. */ \
+ TI_CLRBIT(sc, TI_MISC_LOCAL_CTL, TI_MLC_EE_CLK); /* Pull clock low again */
+
+#ifdef __alpha__
+#undef vtophys
+#define vtophys(va) (pmap_kextract(((vm_offset_t) (va))) \
+ + 1*1024*1024*1024)
+#endif
+
diff --git a/sys/pci/ti_fw.h b/sys/pci/ti_fw.h
new file mode 100644
index 0000000..5134981
--- /dev/null
+++ b/sys/pci/ti_fw.h
@@ -0,0 +1,4459 @@
+/*
+ * Firmware for Alteon Tigon 1 chip.
+ * Generated by genfw.c
+ *
+ * $Id$
+ */
+static int tigonFwReleaseMajor = 0xc;
+static int tigonFwReleaseMinor = 0x3;
+static int tigonFwReleaseFix = 0x9;
+static u_int32_t tigonFwStartAddr = 0x00004000;
+static u_int32_t tigonFwTextAddr = 0x00004000;
+static int tigonFwTextLen = 0x10920;
+static u_int32_t tigonFwRodataAddr = 0x00014920;
+static int tigonFwRodataLen = 0xaa0;
+static u_int32_t tigonFwDataAddr = 0x000153e0;
+static int tigonFwDataLen = 0x150;
+static u_int32_t tigonFwSbssAddr = 0x00015530;
+static int tigonFwSbssLen = 0x2c;
+static u_int32_t tigonFwBssAddr = 0x00015560;
+static int tigonFwBssLen = 0x2080;
+static u_int32_t tigonFwText[] = {
+0x10000003,
+0x0, 0xd, 0xd, 0x3c1d0001,
+0x8fbd5414, 0x3a0f021, 0x3c100000, 0x26104000,
+0xc00100c, 0x0, 0xd, 0x27bdffd8,
+0x3c1cc000, 0x3c1b0013, 0x377bd800, 0xd021,
+0x3c170013, 0x36f75418, 0x2e02021, 0x340583e8,
+0xafbf0024, 0xc00248c, 0xafb00020, 0xc0023ec,
+0x0, 0x3c040001, 0x24844984, 0x24050001,
+0x2e03021, 0x3821, 0x3c100001, 0x261075e0,
+0xafb00010, 0xc002407, 0xafbb0014, 0x3c02000f,
+0x3442ffff, 0x2021024, 0x362102b, 0x10400009,
+0x24050003, 0x3c040001, 0x24844990, 0x2003021,
+0x3603821, 0x3c020010, 0xafa20010, 0xc002407,
+0xafa00014, 0x2021, 0x3405c000, 0x3c010001,
+0x370821, 0xa02083b0, 0x3c010001, 0x370821,
+0xa02083b2, 0x3c010001, 0x370821, 0xa02083b3,
+0x3c010001, 0x370821, 0xac2083b4, 0xa2e004d8,
+0x418c0, 0x24840001, 0x771021, 0xac40727c,
+0x771021, 0xac407280, 0x2e31021, 0xa445727c,
+0x2c820020, 0x1440fff7, 0x418c0, 0x2021,
+0x3405c000, 0x418c0, 0x24840001, 0x771021,
+0xac40737c, 0x771021, 0xac407380, 0x2e31021,
+0xa445737c, 0x2c820080, 0x5440fff7, 0x418c0,
+0xaf800054, 0xaf80011c, 0x8f820044, 0x34420040,
+0xaf820044, 0x8f820044, 0x34420020, 0xaf820044,
+0x8f420218, 0x30420002, 0x10400009, 0x0,
+0x8f420220, 0x3c030002, 0x34630004, 0x431025,
+0xaee204c4, 0x8f42021c, 0x8001074, 0x34420004,
+0x8f420220, 0x3c030002, 0x34630006, 0x431025,
+0xaee204c4, 0x8f42021c, 0x34420006, 0xaee204cc,
+0x8f420218, 0x30420010, 0x1040000a, 0x0,
+0x8f42021c, 0x34420004, 0xaee204c8, 0x8f420220,
+0x3c03000a, 0x34630004, 0x431025, 0x800108a,
+0xaee204c0, 0x8f420220, 0x3c03000a, 0x34630006,
+0x431025, 0xaee204c0, 0x8f42021c, 0x34420006,
+0xaee204c8, 0x8f420218, 0x30420200, 0x10400003,
+0x24020001, 0x8001091, 0xa2e27248, 0xa2e07248,
+0x24020001, 0xaf8200a0, 0xaf8200b0, 0x8f830054,
+0x8f820054, 0x8001099, 0x24630064, 0x8f820054,
+0x621023, 0x2c420065, 0x1440fffc, 0x0,
+0xaf800044, 0x8f420208, 0x8f43020c, 0xaee20010,
+0xaee30014, 0x8ee40010, 0x8ee50014, 0x26e20030,
+0xaee20028, 0x24020490, 0xaee20018, 0xaf840090,
+0xaf850094, 0x8ee20028, 0xaf8200b4, 0x96e2001a,
+0xaf82009c, 0x8f8200b0, 0x8ee304cc, 0x431025,
+0xaf8200b0, 0x8f8200b0, 0x30420004, 0x1440fffd,
+0x0, 0x8ee20450, 0x8ee30454, 0xaee304fc,
+0x8ee204fc, 0x2442e000, 0x2c422001, 0x1440000d,
+0x26e40030, 0x8ee20450, 0x8ee30454, 0x3c040001,
+0x2484499c, 0x3c050001, 0xafa00010, 0xafa00014,
+0x8ee704fc, 0x34a5f000, 0xc002407, 0x603021,
+0x26e40030, 0xc00248c, 0x24050400, 0x27440080,
+0xc00248c, 0x24050080, 0x26e4777c, 0xc00248c,
+0x24050400, 0x8f42025c, 0x26e40094, 0xaee20060,
+0x8f420260, 0x27450200, 0x24060008, 0xaee20068,
+0x24020006, 0xc00249e, 0xaee20064, 0x3c023b9a,
+0x3442ca00, 0x2021, 0x24030002, 0xaee30074,
+0xaee30070, 0xaee2006c, 0x240203e8, 0xaee20104,
+0x24020001, 0xaee30100, 0xaee2010c, 0x3c030001,
+0x641821, 0x906353e0, 0x2e41021, 0x24840001,
+0xa043009c, 0x2c82000f, 0x1440fff8, 0x0,
+0x8f820040, 0x2e41821, 0x24840001, 0x21702,
+0x24420030, 0xa062009c, 0x2e41021, 0xa040009c,
+0x96e2046a, 0x30420003, 0x14400009, 0x0,
+0x96e2047a, 0x30420003, 0x50400131, 0x3c030800,
+0x96e2046a, 0x30420003, 0x1040002a, 0x3c020700,
+0x96e2047a, 0x30420003, 0x10400026, 0x3c020700,
+0x96e3047a, 0x96e2046a, 0x14620022, 0x3c020700,
+0x8ee204c0, 0x24030001, 0xa2e34e20, 0x34420e00,
+0xaee204c0, 0x8f420218, 0x30420100, 0x10400005,
+0x0, 0x3c020001, 0x2442e178, 0x800111d,
+0x21100, 0x3c020001, 0x2442d36c, 0x21100,
+0x21182, 0x3c030800, 0x431025, 0x3c010001,
+0xac221238, 0x3c020001, 0x2442f690, 0x21100,
+0x21182, 0x3c030800, 0x431025, 0x3c010001,
+0xac221278, 0x8ee20000, 0x34424000, 0x8001238,
+0xaee20000, 0x34423000, 0xafa20018, 0x8ee20608,
+0x8f430228, 0x24420001, 0x304900ff, 0x512300e2,
+0xafa00010, 0x8ee20608, 0x210c0, 0x571021,
+0x8fa30018, 0x8fa4001c, 0xac43060c, 0xac440610,
+0x8f870120, 0x27623800, 0x24e80020, 0x102102b,
+0x50400001, 0x27683000, 0x8f820128, 0x11020004,
+0x0, 0x8f820124, 0x15020007, 0x1021,
+0x8ee201a4, 0x3021, 0x24420001, 0xaee201a4,
+0x80011a0, 0x8ee201a4, 0x8ee40608, 0x420c0,
+0x801821, 0x8ee40430, 0x8ee50434, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0xace40000,
+0xace50004, 0x8ee30608, 0x24020008, 0xa4e2000e,
+0x2402000d, 0xace20018, 0xace9001c, 0x318c0,
+0x2463060c, 0x2e31021, 0xace20008, 0x8ee204c4,
+0xace20010, 0xaf880120, 0x92e24e20, 0x14400037,
+0x24060001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c830000, 0x24020007, 0x1462001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee54e30, 0x24420001, 0x10430007,
+0x0, 0x8ee24e34, 0x24420001, 0x10a20005,
+0x0, 0x800118a, 0x0, 0x14a00005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x80011a0, 0x0, 0x8ee24e30,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x24020007,
+0xac820000, 0x24020001, 0xac820004, 0x54c0000c,
+0xaee90608, 0x3c040001, 0x248449a8, 0xafa00010,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f000, 0x8001223, 0x0,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x3021, 0x24420001, 0xaee201a4,
+0x8001207, 0x8ee201a4, 0x8ee20608, 0xac62001c,
+0x8ee404a0, 0x8ee504a4, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400037, 0x24060001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020012, 0x1462001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee54e30, 0x24420001, 0x10430007, 0x0,
+0x8ee24e34, 0x24420001, 0x10a20005, 0x0,
+0x80011f1, 0x0, 0x14a00005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x8001207, 0x0, 0x8ee24e30, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020012, 0xac820000,
+0x24020001, 0xac820004, 0x14c0001b, 0x0,
+0x3c040001, 0x248449b0, 0xafa00010, 0xafa00014,
+0x8ee60608, 0x8f470228, 0x3c050009, 0xc002407,
+0x34a5f001, 0x8ee201b0, 0x24420001, 0xaee201b0,
+0x8001223, 0x8ee201b0, 0x3c040001, 0x248449bc,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f005, 0x8ee201ac, 0x24420001,
+0xaee201ac, 0x8ee201ac, 0x8ee20160, 0x3c040001,
+0x248449c8, 0x3405f001, 0x24420001, 0xaee20160,
+0x8ee20160, 0x3021, 0x3821, 0xafa00010,
+0xc002407, 0xafa00014, 0x8001238, 0x0,
+0x3c020001, 0x2442f5b8, 0x21100, 0x21182,
+0x431025, 0x3c010001, 0xac221278, 0x96e2045a,
+0x30420003, 0x10400025, 0x3c050fff, 0x8ee204c8,
+0x34a5ffff, 0x34420a00, 0xaee204c8, 0x8ee304c8,
+0x3c040001, 0x248449d4, 0x24020001, 0xa2e204ec,
+0xa2e204ed, 0x3c020002, 0x621825, 0x3c020001,
+0x2442a3a0, 0x451024, 0x21082, 0xaee304c8,
+0x3c030800, 0x431025, 0x3c010001, 0xac221220,
+0x3c020001, 0x2442ade4, 0x451024, 0x21082,
+0x431025, 0x3c010001, 0xac221280, 0x96e6045a,
+0x3821, 0x24050011, 0xafa00010, 0xc002407,
+0xafa00014, 0x8001268, 0x0, 0x3c020001,
+0x2442a9e4, 0x21100, 0x21182, 0x3c030800,
+0x431025, 0x3c010001, 0xac221280, 0x96e2046a,
+0x30420010, 0x14400009, 0x0, 0x96e2047a,
+0x30420010, 0x10400112, 0x0, 0x96e2046a,
+0x30420010, 0x10400005, 0x3c020700, 0x96e2047a,
+0x30420010, 0x14400102, 0x3c020700, 0x34423000,
+0xafa20018, 0x8ee20608, 0x8f430228, 0x24420001,
+0x304900ff, 0x512300e2, 0xafa00010, 0x8ee20608,
+0x210c0, 0x571021, 0x8fa30018, 0x8fa4001c,
+0xac43060c, 0xac440610, 0x8f870120, 0x27623800,
+0x24e80020, 0x102102b, 0x50400001, 0x27683000,
+0x8f820128, 0x11020004, 0x0, 0x8f820124,
+0x15020007, 0x1021, 0x8ee201a4, 0x3021,
+0x24420001, 0xaee201a4, 0x80012ea, 0x8ee201a4,
+0x8ee40608, 0x420c0, 0x801821, 0x8ee40430,
+0x8ee50434, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee30608,
+0x24020008, 0xa4e2000e, 0x2402000d, 0xace20018,
+0xace9001c, 0x318c0, 0x2463060c, 0x2e31021,
+0xace20008, 0x8ee204c4, 0xace20010, 0xaf880120,
+0x92e24e20, 0x14400037, 0x24060001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020007, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee54e30,
+0x24420001, 0x10430007, 0x0, 0x8ee24e34,
+0x24420001, 0x10a20005, 0x0, 0x80012d4,
+0x0, 0x14a00005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x80012ea,
+0x0, 0x8ee24e30, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020007, 0xac820000, 0x24020001,
+0xac820004, 0x54c0000c, 0xaee90608, 0x3c040001,
+0x248449a8, 0xafa00010, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f000,
+0x800136d, 0x0, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x3021,
+0x24420001, 0xaee201a4, 0x8001351, 0x8ee201a4,
+0x8ee20608, 0xac62001c, 0x8ee404a0, 0x8ee504a4,
+0x2462001c, 0xac620008, 0x24020008, 0xa462000e,
+0x24020011, 0xac620018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400037, 0x24060001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020012,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x800133b, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x8001351, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020012, 0xac820000, 0x24020001, 0xac820004,
+0x14c0001b, 0x0, 0x3c040001, 0x248449b0,
+0xafa00010, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f001, 0x8ee201b0,
+0x24420001, 0xaee201b0, 0x800136d, 0x8ee201b0,
+0x3c040001, 0x248449bc, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f005,
+0x8ee201ac, 0x24420001, 0xaee201ac, 0x8ee201ac,
+0x8ee20160, 0x3c040001, 0x248449c8, 0x3405f002,
+0x24420001, 0xaee20160, 0x8ee20160, 0x3021,
+0x3821, 0xafa00010, 0xc002407, 0xafa00014,
+0x96e6047a, 0x96e7046a, 0x3c040001, 0x248449e0,
+0x24050012, 0xafa00010, 0xc002407, 0xafa00014,
+0xc004500, 0x0, 0xc002318, 0x0,
+0x3c060001, 0x34c63800, 0xaee00608, 0xaf400228,
+0xaf40022c, 0x96e30458, 0x8ee40000, 0x3c0512d8,
+0x34a5c358, 0x27623800, 0xaee27258, 0x27623800,
+0xaee27260, 0x27623800, 0xaee27264, 0x3661021,
+0xaee27270, 0x2402ffff, 0xaee004d4, 0xaee004e0,
+0xaee004e4, 0xaee004f0, 0xa2e004f4, 0xaee00e0c,
+0xaee00e18, 0xaee00e10, 0xaee00e14, 0xaee00e1c,
+0xaee0724c, 0xaee05244, 0xaee05240, 0xaee0523c,
+0xaee07250, 0xaee07254, 0xaee0725c, 0xaee07268,
+0xaee004d0, 0x2463ffff, 0x852025, 0xaee304f8,
+0xaee40000, 0xaf800060, 0xaf820064, 0x3c020100,
+0xafa20018, 0x8ee20608, 0x8f430228, 0x24420001,
+0x304900ff, 0x512300e2, 0xafa00010, 0x8ee20608,
+0x210c0, 0x571021, 0x8fa30018, 0x8fa4001c,
+0xac43060c, 0xac440610, 0x8f870120, 0x27623800,
+0x24e80020, 0x102102b, 0x50400001, 0x27683000,
+0x8f820128, 0x11020004, 0x0, 0x8f820124,
+0x15020007, 0x1021, 0x8ee201a4, 0x3021,
+0x24420001, 0xaee201a4, 0x8001422, 0x8ee201a4,
+0x8ee40608, 0x420c0, 0x801821, 0x8ee40430,
+0x8ee50434, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee30608,
+0x24020008, 0xa4e2000e, 0x2402000d, 0xace20018,
+0xace9001c, 0x318c0, 0x2463060c, 0x2e31021,
+0xace20008, 0x8ee204c4, 0xace20010, 0xaf880120,
+0x92e24e20, 0x14400037, 0x24060001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020007, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee54e30,
+0x24420001, 0x10430007, 0x0, 0x8ee24e34,
+0x24420001, 0x10a20005, 0x0, 0x800140c,
+0x0, 0x14a00005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8001422,
+0x0, 0x8ee24e30, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020007, 0xac820000, 0x24020001,
+0xac820004, 0x54c0000c, 0xaee90608, 0x3c040001,
+0x248449a8, 0xafa00010, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f000,
+0x80014a5, 0x0, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x3021,
+0x24420001, 0xaee201a4, 0x8001489, 0x8ee201a4,
+0x8ee20608, 0xac62001c, 0x8ee404a0, 0x8ee504a4,
+0x2462001c, 0xac620008, 0x24020008, 0xa462000e,
+0x24020011, 0xac620018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400037, 0x24060001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020012,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x8001473, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x8001489, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020012, 0xac820000, 0x24020001, 0xac820004,
+0x14c0001b, 0x0, 0x3c040001, 0x248449b0,
+0xafa00010, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f001, 0x8ee201b0,
+0x24420001, 0xaee201b0, 0x80014a5, 0x8ee201b0,
+0x3c040001, 0x248449bc, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f005,
+0x8ee201ac, 0x24420001, 0xaee201ac, 0x8ee201ac,
+0x8ee20154, 0x24420001, 0xaee20154, 0xc0014dc,
+0x8ee20154, 0x8f8200a0, 0x30420004, 0x1440fffd,
+0x0, 0x8f820040, 0x30420001, 0x14400008,
+0x0, 0x8f430104, 0x24020001, 0x10620004,
+0x0, 0x8f420264, 0x10400006, 0x0,
+0x8ee2017c, 0x24420001, 0xaee2017c, 0x80014c5,
+0x8ee2017c, 0x8f820044, 0x34420004, 0xaf820044,
+0x8ee20178, 0x24420001, 0xaee20178, 0x8ee20178,
+0x8f8200d8, 0x8f8300d4, 0x431023, 0xaee2726c,
+0x8ee2726c, 0x1c400003, 0x3c030001, 0x431021,
+0xaee2726c, 0xc004068, 0x0, 0xc004440,
+0xaf800228, 0x8fbf0024, 0x8fb00020, 0x3e00008,
+0x27bd0028, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x0, 0x0, 0x2402002c,
+0xaf820050, 0xaee07274, 0x8f420238, 0xaee27278,
+0x8f820054, 0x24420067, 0xaf820058, 0xaee07b88,
+0xaee07b8c, 0xaee07b84, 0x3c010001, 0x370821,
+0xac2083bc, 0x3c010001, 0x370821, 0x3e00008,
+0xa02083b9, 0x27bdffd8, 0xafbf0024, 0xafb00020,
+0x8f820054, 0x3c030001, 0x8c635498, 0x24420067,
+0x1060000d, 0xaf820058, 0x3c020001, 0x571021,
+0x904283b8, 0x10400005, 0x3c030200, 0x3c010001,
+0x370821, 0x8001503, 0xa02083b8, 0x8ee20000,
+0x431025, 0xaee20000, 0x8f420218, 0x30420100,
+0x104000c6, 0x0, 0x8f8200b0, 0x30420004,
+0x104000c2, 0x0, 0x3c030001, 0x771821,
+0x8c6383d0, 0x8f820104, 0x146200b4, 0x0,
+0x3c030001, 0x771821, 0x8c6383d4, 0x8f8200b4,
+0x146200ae, 0x0, 0x8f8200b0, 0x3c030080,
+0x431024, 0x1040000d, 0x0, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f8200b0, 0x2403fffb,
+0x431024, 0xaf8200b0, 0x8f82011c, 0x2403fffd,
+0x431024, 0x80015cc, 0xaf82011c, 0x3c030001,
+0x771821, 0x8c6383d0, 0x8f820104, 0x14620082,
+0x0, 0x3c030001, 0x771821, 0x8c6383d4,
+0x8f8200b4, 0x1462007c, 0x0, 0x3c070001,
+0xf73821, 0x8ce783d0, 0x8f8200b0, 0x3c040001,
+0x24844a50, 0xafa00014, 0xafa20010, 0x8f8600b0,
+0x3c050005, 0xc002407, 0x34a50900, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f830104, 0x8f8200b0,
+0x34420001, 0xaf8200b0, 0xaf830104, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20006, 0x0, 0x8ee201a4,
+0x24420001, 0xaee201a4, 0x80015a0, 0x8ee201a4,
+0x8f440208, 0x8f45020c, 0x26e20030, 0xac620008,
+0x24020400, 0xa462000e, 0x2402000f, 0xac620018,
+0xac60001c, 0xac640000, 0xac650004, 0x8ee204c4,
+0xac620010, 0xaf860120, 0x92e24e20, 0x14400037,
+0x0, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c830000, 0x24020007, 0x1462001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee54e30, 0x24420001, 0x10430007,
+0x0, 0x8ee24e34, 0x24420001, 0x10a20005,
+0x0, 0x800158a, 0x0, 0x14a00005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x80015a0, 0x0, 0x8ee24e30,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x24020007,
+0xac820000, 0x24020001, 0xac820004, 0x8f82011c,
+0x2403fffd, 0x431024, 0xaf82011c, 0x8ee201e4,
+0x3c070001, 0xf73821, 0x8ce783d0, 0x24420001,
+0xaee201e4, 0x8ee201e4, 0x3c040001, 0x24844a5c,
+0x80015bd, 0xafa00010, 0x8f820104, 0x3c010001,
+0x370821, 0xac2283d0, 0x8f8200b4, 0x3c070001,
+0xf73821, 0x8ce783d0, 0x3c040001, 0x24844a64,
+0x3c010001, 0x370821, 0xac2283d4, 0xafa00010,
+0xafa00014, 0x8f8600b0, 0x3c050005, 0xc002407,
+0x34a50900, 0x80015cc, 0x0, 0x8f820104,
+0x3c010001, 0x370821, 0xac2283d0, 0x8f8200b4,
+0x3c010001, 0x370821, 0xac2283d4, 0x8ee27274,
+0x92e304f4, 0x24420067, 0x14600006, 0xaee27274,
+0x8ee27274, 0x8f430234, 0x43102b, 0x1440007b,
+0x0, 0x8ee304e4, 0x8ee204f8, 0x14620004,
+0x0, 0x92e204f4, 0x50400074, 0xa2e004f4,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8021, 0x24420001, 0xaee201a4,
+0x8001637, 0x8ee201a4, 0x8ee204e4, 0xac62001c,
+0x8ee404b0, 0x8ee504b4, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400037, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020012, 0x1462001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee54e30, 0x24420001, 0x10430007, 0x0,
+0x8ee24e34, 0x24420001, 0x10a20005, 0x0,
+0x8001621, 0x0, 0x14a00005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x8001637, 0x0, 0x8ee24e30, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020012, 0xac820000,
+0x24020001, 0xac820004, 0x5600000b, 0x24100001,
+0x8ee204e4, 0x3c040001, 0x24844a6c, 0xafa00014,
+0xafa20010, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f006, 0x16000003, 0x24020001,
+0x8001650, 0xa2e204f4, 0x8ee20170, 0x24420001,
+0xaee20170, 0x8ee20170, 0x8ee204e4, 0xa2e004f4,
+0xaee004f0, 0xaee07274, 0xaee204f8, 0x8ee20e1c,
+0x1040006d, 0x0, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x8021,
+0x24420001, 0xaee201a4, 0x80016ad, 0x8ee201a4,
+0x8ee2724c, 0xac62001c, 0x8ee404a8, 0x8ee504ac,
+0x2462001c, 0xac620008, 0x24020008, 0xa462000e,
+0x24020011, 0xac620018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400037, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020012,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x8001697, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x80016ad, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020012, 0xac820000, 0x24020001, 0xac820004,
+0x5600000b, 0x24100001, 0x8ee2724c, 0x3c040001,
+0x24844a78, 0xafa00014, 0xafa20010, 0x8ee6724c,
+0x8f470280, 0x3c050009, 0xc002407, 0x34a5f008,
+0x56000001, 0xaee00e1c, 0x8ee20174, 0x24420001,
+0xaee20174, 0x8ee20174, 0x8ee24e24, 0x10400019,
+0x0, 0xaee04e24, 0x8f820040, 0x30420001,
+0x14400008, 0x0, 0x8f430104, 0x24020001,
+0x10620004, 0x0, 0x8f420264, 0x10400006,
+0x0, 0x8ee2017c, 0x24420001, 0xaee2017c,
+0x80016da, 0x8ee2017c, 0x8f820044, 0x34420004,
+0xaf820044, 0x8ee20178, 0x24420001, 0xaee20178,
+0x8ee20178, 0x8ee27278, 0x2442ff99, 0xaee27278,
+0x8ee27278, 0x1c4002ad, 0x0, 0x8f420238,
+0x104002aa, 0x0, 0x3c020001, 0x571021,
+0x904283e0, 0x144002a5, 0x0, 0x8f420080,
+0xaee2004c, 0x8f4200c0, 0xaee20048, 0x8f420084,
+0xaee20038, 0x8f420084, 0xaee20244, 0x8f420088,
+0xaee20248, 0x8f42008c, 0xaee2024c, 0x8f420090,
+0xaee20250, 0x8f420094, 0xaee20254, 0x8f420098,
+0xaee20258, 0x8f42009c, 0xaee2025c, 0x8f4200a0,
+0xaee20260, 0x8f4200a4, 0xaee20264, 0x8f4200a8,
+0xaee20268, 0x8f4200ac, 0xaee2026c, 0x8f4200b0,
+0xaee20270, 0x8f4200b4, 0xaee20274, 0x8f4200b8,
+0xaee20278, 0x8f4200bc, 0x24040001, 0xaee2027c,
+0xaee0003c, 0x41080, 0x571021, 0x8ee3003c,
+0x8c420244, 0x24840001, 0x621821, 0x2c82000f,
+0xaee3003c, 0x1440fff8, 0x41080, 0x8f4200cc,
+0xaee20050, 0x8f4200d0, 0xaee20054, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x8001775,
+0x8ee201a4, 0x8f440208, 0x8f45020c, 0x26e20030,
+0xac620008, 0x24020400, 0xa462000e, 0x2402000f,
+0xac620018, 0xac60001c, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400037, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020007,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x800175f, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x8001775, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020007, 0xac820000, 0x24020001, 0xac820004,
+0x12000212, 0x3c020400, 0xafa20018, 0x3c020001,
+0x571021, 0x904283b0, 0x1040010b, 0x0,
+0x8ee20608, 0x8f430228, 0x24420001, 0x304a00ff,
+0x514300fd, 0xafa00010, 0x8ee20608, 0x210c0,
+0x571021, 0x8fa30018, 0x8fa4001c, 0xac43060c,
+0xac440610, 0x8f830054, 0x8f820054, 0x24690032,
+0x1221023, 0x2c420033, 0x1040006a, 0x5821,
+0x24180008, 0x240f000d, 0x240d0007, 0x240c0040,
+0x240e0001, 0x8f870120, 0x27623800, 0x24e80020,
+0x102102b, 0x50400001, 0x27683000, 0x8f820128,
+0x11020004, 0x0, 0x8f820124, 0x15020007,
+0x1021, 0x8ee201a4, 0x8021, 0x24420001,
+0xaee201a4, 0x80017f3, 0x8ee201a4, 0x8ee40608,
+0x420c0, 0x801821, 0x8ee40430, 0x8ee50434,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xace40000, 0xace50004, 0x8ee20608, 0xa4f8000e,
+0xacef0018, 0xacea001c, 0x210c0, 0x2442060c,
+0x2e21021, 0xace20008, 0x8ee204c4, 0xace20010,
+0xaf880120, 0x92e24e20, 0x14400033, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x144d001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x104c0007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x80017e0,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x80017f3,
+0x0, 0x8ee24e30, 0x24420001, 0x504c0003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac8d0000, 0xac8e0004, 0x56000006, 0x240b0001,
+0x8f820054, 0x1221023, 0x2c420033, 0x1440ff9d,
+0x0, 0x316300ff, 0x24020001, 0x14620077,
+0x3c050009, 0xaeea0608, 0x8f830054, 0x8f820054,
+0x24690032, 0x1221023, 0x2c420033, 0x10400061,
+0x5821, 0x240d0008, 0x240c0011, 0x24080012,
+0x24070040, 0x240a0001, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x8021,
+0x24420001, 0xaee201a4, 0x800185f, 0x8ee201a4,
+0x8ee20608, 0xac62001c, 0x8ee404a0, 0x8ee504a4,
+0x2462001c, 0xac620008, 0xa46d000e, 0xac6c0018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400033, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x1448001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10470007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x800184c,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x800185f,
+0x0, 0x8ee24e30, 0x24420001, 0x50470003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac880000, 0xac8a0004, 0x56000006, 0x240b0001,
+0x8f820054, 0x1221023, 0x2c420033, 0x1440ffa6,
+0x0, 0x316300ff, 0x24020001, 0x14620003,
+0x3c050009, 0x800197c, 0x24100001, 0x3c040001,
+0x24844a84, 0xafa00010, 0xafa00014, 0x8f860120,
+0x8f870124, 0x800187b, 0x34a5f011, 0x3c040001,
+0x24844a90, 0xafa00010, 0xafa00014, 0x8f860120,
+0x8f870124, 0x34a5f010, 0xc002407, 0x8021,
+0x800197c, 0x0, 0x3c040001, 0x24844a9c,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0x8001975, 0x34a5f00f, 0x8ee20608, 0x8f430228,
+0x24420001, 0x304900ff, 0x512300e2, 0xafa00010,
+0x8ee20608, 0x210c0, 0x571021, 0x8fa30018,
+0x8fa4001c, 0xac43060c, 0xac440610, 0x8f870120,
+0x27623800, 0x24e80020, 0x102102b, 0x50400001,
+0x27683000, 0x8f820128, 0x11020004, 0x0,
+0x8f820124, 0x15020007, 0x1021, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x80018f7,
+0x8ee201a4, 0x8ee40608, 0x420c0, 0x801821,
+0x8ee40430, 0x8ee50434, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xace40000, 0xace50004,
+0x8ee30608, 0x24020008, 0xa4e2000e, 0x2402000d,
+0xace20018, 0xace9001c, 0x318c0, 0x2463060c,
+0x2e31021, 0xace20008, 0x8ee204c4, 0xace20010,
+0xaf880120, 0x92e24e20, 0x14400037, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020007, 0x1462001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee54e30, 0x24420001, 0x10430007, 0x0,
+0x8ee24e34, 0x24420001, 0x10a20005, 0x0,
+0x80018e1, 0x0, 0x14a00005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x80018f7, 0x0, 0x8ee24e30, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020007, 0xac820000,
+0x24020001, 0xac820004, 0x5600000c, 0xaee90608,
+0x3c040001, 0x24844aa8, 0xafa00010, 0xafa00014,
+0x8ee60608, 0x8f470228, 0x3c050009, 0xc002407,
+0x34a5f000, 0x800197c, 0x0, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x800195e,
+0x8ee201a4, 0x8ee20608, 0xac62001c, 0x8ee404a0,
+0x8ee504a4, 0x2462001c, 0xac620008, 0x24020008,
+0xa462000e, 0x24020011, 0xac620018, 0xac640000,
+0xac650004, 0x8ee204c4, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400037, 0x24100001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020012, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee54e30,
+0x24420001, 0x10430007, 0x0, 0x8ee24e34,
+0x24420001, 0x10a20005, 0x0, 0x8001948,
+0x0, 0x14a00005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x800195e,
+0x0, 0x8ee24e30, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020012, 0xac820000, 0x24020001,
+0xac820004, 0x5600001d, 0x24100001, 0x3c040001,
+0x24844ab0, 0xafa00010, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f001,
+0x8ee201b0, 0x24420001, 0xaee201b0, 0x800197c,
+0x8ee201b0, 0x3c040001, 0x24844abc, 0xafa00014,
+0x8ee60608, 0x8f470228, 0x3c050009, 0x34a5f005,
+0xc002407, 0x0, 0x8ee201ac, 0x8021,
+0x24420001, 0xaee201ac, 0x8ee201ac, 0x1200000c,
+0x24020001, 0x3c010001, 0x370821, 0xa02083b0,
+0x8f420238, 0x8ee30158, 0x24630001, 0xaee30158,
+0x8ee30158, 0x800198c, 0xaee27278, 0x24020001,
+0x3c010001, 0x370821, 0xa02283b0, 0x3c020001,
+0x8c425498, 0x10400187, 0x0, 0x8ee27b84,
+0x24430001, 0x284200c9, 0x144001a4, 0xaee37b84,
+0x8ee204d4, 0x30420002, 0x14400119, 0xaee07b84,
+0x8ee204d4, 0x3c030600, 0x34631000, 0x34420002,
+0xaee204d4, 0xafa30018, 0x8ee20608, 0x8f430228,
+0x24420001, 0x304a00ff, 0x514300fd, 0xafa00010,
+0x8ee20608, 0x210c0, 0x571021, 0x8fa30018,
+0x8fa4001c, 0xac43060c, 0xac440610, 0x8f830054,
+0x8f820054, 0x24690032, 0x1221023, 0x2c420033,
+0x1040006a, 0x5821, 0x24180008, 0x240f000d,
+0x240d0007, 0x240c0040, 0x240e0001, 0x8f870120,
+0x27623800, 0x24e80020, 0x102102b, 0x50400001,
+0x27683000, 0x8f820128, 0x11020004, 0x0,
+0x8f820124, 0x15020007, 0x1021, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x8001a15,
+0x8ee201a4, 0x8ee40608, 0x420c0, 0x801821,
+0x8ee40430, 0x8ee50434, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xace40000, 0xace50004,
+0x8ee20608, 0xa4f8000e, 0xacef0018, 0xacea001c,
+0x210c0, 0x2442060c, 0x2e21021, 0xace20008,
+0x8ee204c4, 0xace20010, 0xaf880120, 0x92e24e20,
+0x14400033, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x144d001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x104c0007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x8001a02, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400010,
+0xac800000, 0x8001a15, 0x0, 0x8ee24e30,
+0x24420001, 0x504c0003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0xac8d0000, 0xac8e0004,
+0x56000006, 0x240b0001, 0x8f820054, 0x1221023,
+0x2c420033, 0x1440ff9d, 0x0, 0x316300ff,
+0x24020001, 0x54620078, 0xafa00010, 0xaeea0608,
+0x8f830054, 0x8f820054, 0x24690032, 0x1221023,
+0x2c420033, 0x10400061, 0x5821, 0x240d0008,
+0x240c0011, 0x24080012, 0x24070040, 0x240a0001,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8021, 0x24420001, 0xaee201a4,
+0x8001a81, 0x8ee201a4, 0x8ee20608, 0xac62001c,
+0x8ee404a0, 0x8ee504a4, 0x2462001c, 0xac620008,
+0xa46d000e, 0xac6c0018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400033, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x1448001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x10470007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x8001a6e, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400010,
+0xac800000, 0x8001a81, 0x0, 0x8ee24e30,
+0x24420001, 0x50470003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0xac880000, 0xac8a0004,
+0x56000006, 0x240b0001, 0x8f820054, 0x1221023,
+0x2c420033, 0x1440ffa6, 0x0, 0x316300ff,
+0x24020001, 0x10620022, 0x0, 0x3c040001,
+0x24844a84, 0xafa00010, 0xafa00014, 0x8f860120,
+0x8f870124, 0x3c050009, 0xc002407, 0x34a5f011,
+0x8001aad, 0x0, 0x3c040001, 0x24844a90,
+0xafa00014, 0x8f860120, 0x8f870124, 0x3c050009,
+0xc002407, 0x34a5f010, 0x8001aad, 0x0,
+0x3c040001, 0x24844a9c, 0xafa00014, 0x8ee60608,
+0x8f470228, 0x3c050009, 0xc002407, 0x34a5f00f,
+0x8ee201ac, 0x24420001, 0xaee201ac, 0x8ee201ac,
+0x8ee2015c, 0x24420001, 0xaee2015c, 0x8ee2015c,
+0x8ee204d4, 0x30420001, 0x10400055, 0x0,
+0x8f420218, 0x30420080, 0x10400029, 0x0,
+0x8f820044, 0x34420040, 0xaf820044, 0x8ee27b7c,
+0x402821, 0x8ee200c0, 0x8ee300c4, 0x24060000,
+0x2407ffff, 0x2021, 0x461024, 0x1444000d,
+0x671824, 0x1465000b, 0x0, 0x8ee27b80,
+0x402821, 0x8ee200e0, 0x8ee300e4, 0x2021,
+0x461024, 0x14440003, 0x671824, 0x1065000b,
+0x0, 0x8ee200c0, 0x8ee300c4, 0x8ee400e0,
+0x8ee500e4, 0xaee37b7c, 0xaee57b80, 0x8f820044,
+0x38420020, 0x8001b38, 0xaf820044, 0x8f820044,
+0x2403ffdf, 0x431024, 0x8001b38, 0xaf820044,
+0x8f820044, 0x2403ffdf, 0x431024, 0xaf820044,
+0x8ee27b7c, 0x402821, 0x8ee200c0, 0x8ee300c4,
+0x24060000, 0x2407ffff, 0x2021, 0x461024,
+0x1444000d, 0x671824, 0x1465000b, 0x0,
+0x8ee27b80, 0x402821, 0x8ee200e0, 0x8ee300e4,
+0x2021, 0x461024, 0x14440003, 0x671824,
+0x1065000b, 0x0, 0x8ee200c0, 0x8ee300c4,
+0x8ee400e0, 0x8ee500e4, 0xaee37b7c, 0xaee57b80,
+0x8f820044, 0x38420040, 0x8001b38, 0xaf820044,
+0x8f820044, 0x34420040, 0x8001b38, 0xaf820044,
+0x8f820044, 0x34420040, 0xaf820044, 0x8ee27b8c,
+0x24430001, 0x28420015, 0x14400028, 0xaee37b8c,
+0x8f820044, 0x38420020, 0xaf820044, 0x8001b38,
+0xaee07b8c, 0x8ee204d4, 0x30420001, 0x10400011,
+0x0, 0x8f420218, 0x30420080, 0x10400009,
+0x0, 0x8f820044, 0x34420020, 0xaf820044,
+0x8f820044, 0x2403ffbf, 0x431024, 0x8001b36,
+0xaf820044, 0x8f820044, 0x34420060, 0x8001b36,
+0xaf820044, 0x8f820044, 0x34420040, 0xaf820044,
+0x8ee27b88, 0x24430001, 0x28421389, 0x14400005,
+0xaee37b88, 0x8f820044, 0x38420020, 0xaf820044,
+0xaee07b88, 0xc0045c1, 0x0, 0x8fbf0024,
+0x8fb00020, 0x3e00008, 0x27bd0028, 0x27bdffb8,
+0xafbf0044, 0xafb60040, 0xafb5003c, 0xafb40038,
+0xafb30034, 0xafb20030, 0xafb1002c, 0xafb00028,
+0x8f960064, 0x32c20004, 0x1040000c, 0x24020004,
+0xaf820064, 0x8f420114, 0xaee204e0, 0x8f820060,
+0x34420008, 0xaf820060, 0x8ee2016c, 0x24420001,
+0xaee2016c, 0x80022f4, 0x8ee2016c, 0x32c20001,
+0x10400004, 0x24020001, 0xaf820064, 0x80022f4,
+0x0, 0x32c20002, 0x1440000c, 0x3c050003,
+0x3c040001, 0x24844b34, 0x34a50001, 0x2c03021,
+0x3821, 0xafa00010, 0xc002407, 0xafa00014,
+0x2402fff8, 0x80022f4, 0xaf820064, 0x8f43022c,
+0x8f42010c, 0x5062000c, 0xafa00010, 0x8f42022c,
+0x21080, 0x5a1021, 0x8c420300, 0xafa20020,
+0x8f42022c, 0x24070001, 0x24420001, 0x3042003f,
+0x8001b80, 0xaf42022c, 0x3c040001, 0x24844b40,
+0xafa00014, 0x8f46022c, 0x8f47010c, 0x3c050003,
+0xc002407, 0x34a5f01f, 0x3821, 0x14e00003,
+0x0, 0x80022ed, 0xaf960064, 0x93a20020,
+0x2443ffff, 0x2c620011, 0x10400658, 0x31080,
+0x3c010001, 0x220821, 0x8c224bf8, 0x400008,
+0x0, 0x8fa20020, 0x30420fff, 0xaee20e0c,
+0x8f820060, 0x34420200, 0xaf820060, 0x8ee20118,
+0x24420001, 0xaee20118, 0x80022e8, 0x8ee20118,
+0x8fa20020, 0x24030001, 0x3c010001, 0x370821,
+0xa02383b1, 0x30420fff, 0xaee25238, 0x8f820060,
+0x34420100, 0xaf820060, 0x8ee20144, 0x24420001,
+0xaee20144, 0x80022e8, 0x8ee20144, 0x8fa20020,
+0x21200, 0x22502, 0x24020001, 0x10820005,
+0x24020002, 0x10820009, 0x2402fffe, 0x8001bc9,
+0xafa00010, 0x8ee204d4, 0xaee40070, 0xaee40074,
+0x34420001, 0x8001bbd, 0xaee204d4, 0x8ee304d4,
+0xaee40070, 0xaee40074, 0x621824, 0xaee304d4,
+0x8f840054, 0x41442, 0x41c82, 0x431021,
+0x41cc2, 0x431023, 0x41d02, 0x431021,
+0x41d42, 0x431023, 0x8001bd0, 0xaee20078,
+0x3c040001, 0x24844b4c, 0xafa00014, 0x8fa60020,
+0x3c050003, 0xc002407, 0x34a50004, 0x8ee20110,
+0x24420001, 0xaee20110, 0x80022e8, 0x8ee20110,
+0x27440212, 0xc0022fe, 0x24050006, 0x3049001f,
+0x920c0, 0x2e41021, 0x9442727c, 0x30424000,
+0x1040000a, 0x971021, 0x97430212, 0xa443727e,
+0x8f430214, 0x971021, 0xac437280, 0x2e41821,
+0x34028000, 0x8001c79, 0xa462727c, 0x9443727e,
+0x97420212, 0x14620006, 0x2e41021, 0x971021,
+0x8c437280, 0x8f420214, 0x1062009f, 0x2e41021,
+0x9442727c, 0x30428000, 0x1040002a, 0x2406ffff,
+0x2021, 0x410c0, 0x2e21021, 0x9442737c,
+0x30424000, 0x54400005, 0x803021, 0x24840001,
+0x2c820080, 0x1440fff8, 0x410c0, 0x4c10010,
+0x618c0, 0x610c0, 0x571821, 0x8c63737c,
+0x571021, 0xafa30010, 0x8c427380, 0x3c040001,
+0x24844b58, 0xafa20014, 0x8f470214, 0x3c050003,
+0xc002407, 0x34a50013, 0x8001c90, 0x3c020800,
+0x97440212, 0x771021, 0xa444737e, 0x8f440214,
+0x771021, 0x2e31821, 0xac447380, 0x34028000,
+0xa462737c, 0x910c0, 0x2e21021, 0x8001c79,
+0xa446727c, 0x2e41021, 0x9445727c, 0x8001c2e,
+0x510c0, 0x9443737e, 0x97420212, 0x14620006,
+0x510c0, 0x971021, 0x8c437380, 0x8f420214,
+0x10620065, 0x510c0, 0x2e21021, 0x9445737c,
+0x510c0, 0x2e21021, 0x9442737c, 0x30428000,
+0x1040fff0, 0x971021, 0x520c0, 0x971021,
+0x9443737e, 0x97420212, 0x14620006, 0x2406ffff,
+0x971021, 0x8c437380, 0x8f420214, 0x10620053,
+0x3c020800, 0x2021, 0x410c0, 0x2e21021,
+0x9442737c, 0x30424000, 0x54400005, 0x803021,
+0x24840001, 0x2c820080, 0x1440fff8, 0x410c0,
+0x4c10023, 0x618c0, 0x910c0, 0x571821,
+0x8c63727c, 0x571021, 0xafa30010, 0x8c427280,
+0x3c040001, 0x24844b64, 0xafa20014, 0x8f470214,
+0x3c050003, 0xc002407, 0x34a5f017, 0x8001c90,
+0x3c020800, 0x8f430210, 0xb71021, 0xac43777c,
+0x8f430214, 0xb71021, 0xac437780, 0x3c020001,
+0x571021, 0x8c4283b4, 0x24420001, 0x3c010001,
+0x370821, 0xac2283b4, 0x3c030001, 0x771821,
+0x8c6383b4, 0x2e51021, 0x8001c82, 0xa443777c,
+0x97440212, 0x771021, 0xa444737e, 0x8f440214,
+0x771021, 0x2e31821, 0xac447380, 0x34028000,
+0xa462737c, 0x510c0, 0x2e21021, 0xa446737c,
+0x2021, 0x428c0, 0x2e51021, 0x9442777c,
+0x1040ffdc, 0x24840001, 0x2c820080, 0x5440fffa,
+0x428c0, 0x92e204d8, 0x10400006, 0x24020001,
+0x8ee304dc, 0x1221004, 0x621825, 0x8001c8f,
+0xaee304dc, 0x8f830228, 0x24020001, 0x1221004,
+0x621825, 0xaf830228, 0x3c020800, 0x34421000,
+0xafa20018, 0x8ee20608, 0x8f430228, 0x24420001,
+0x304a00ff, 0x514300fd, 0xafa00010, 0x8ee20608,
+0x210c0, 0x571021, 0x8fa30018, 0x8fa4001c,
+0xac43060c, 0xac440610, 0x8f830054, 0x8f820054,
+0x24690032, 0x1221023, 0x2c420033, 0x1040006a,
+0x5821, 0x24100008, 0x240f000d, 0x240d0007,
+0x240c0040, 0x240e0001, 0x8f870120, 0x27623800,
+0x24e80020, 0x102102b, 0x50400001, 0x27683000,
+0x8f820128, 0x11020004, 0x0, 0x8f820124,
+0x15020007, 0x1021, 0x8ee201a4, 0x3821,
+0x24420001, 0xaee201a4, 0x8001d08, 0x8ee201a4,
+0x8ee40608, 0x420c0, 0x801821, 0x8ee40430,
+0x8ee50434, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee20608,
+0xa4f0000e, 0xacef0018, 0xacea001c, 0x210c0,
+0x2442060c, 0x2e21021, 0xace20008, 0x8ee204c4,
+0xace20010, 0xaf880120, 0x92e24e20, 0x14400033,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x144d001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x104c0007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8001cf5, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x8001d08, 0x0, 0x8ee24e30, 0x24420001,
+0x504c0003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac8d0000, 0xac8e0004, 0x54e00006,
+0x240b0001, 0x8f820054, 0x1221023, 0x2c420033,
+0x1440ff9d, 0x0, 0x316300ff, 0x24020001,
+0x54620078, 0xafa00010, 0xaeea0608, 0x8f830054,
+0x8f820054, 0x24690032, 0x1221023, 0x2c420033,
+0x10400061, 0x5821, 0x240e0008, 0x240d0011,
+0x240a0012, 0x24080040, 0x240c0001, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x3821, 0x24420001, 0xaee201a4, 0x8001d74,
+0x8ee201a4, 0x8ee20608, 0xac62001c, 0x8ee404a0,
+0x8ee504a4, 0x2462001c, 0xac620008, 0xa46e000e,
+0xac6d0018, 0xac640000, 0xac650004, 0x8ee204c4,
+0xac620010, 0xaf860120, 0x92e24e20, 0x14400033,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x144a001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x10480007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8001d61, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x8001d74, 0x0, 0x8ee24e30, 0x24420001,
+0x50480003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac8a0000, 0xac8c0004, 0x54e00006,
+0x240b0001, 0x8f820054, 0x1221023, 0x2c420033,
+0x1440ffa6, 0x0, 0x316300ff, 0x24020001,
+0x10620022, 0x0, 0x3c040001, 0x24844b70,
+0xafa00010, 0xafa00014, 0x8f860120, 0x8f870124,
+0x3c050009, 0xc002407, 0x34a5f011, 0x8001da0,
+0x0, 0x3c040001, 0x24844b7c, 0xafa00014,
+0x8f860120, 0x8f870124, 0x3c050009, 0xc002407,
+0x34a5f010, 0x8001da0, 0x0, 0x3c040001,
+0x24844b88, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f00f, 0x8ee201ac,
+0x24420001, 0xaee201ac, 0x8ee201ac, 0x8ee20124,
+0x24420001, 0xaee20124, 0x8001f97, 0x8ee20124,
+0x27440212, 0xc0022fe, 0x24050006, 0x3049001f,
+0x928c0, 0x2e51021, 0x9442727c, 0x30428000,
+0x1040002f, 0x2e51021, 0x9442727c, 0x30424000,
+0x1440001c, 0xb71021, 0x9443727e, 0x97420212,
+0x14620018, 0xb71021, 0x8c437280, 0x8f420214,
+0x54620016, 0xafa20010, 0x92e204d8, 0x10400007,
+0x24020001, 0x8ee304dc, 0x1221004, 0x21027,
+0x621824, 0x8001dc9, 0xaee304dc, 0x8f830228,
+0x1221004, 0x21027, 0x621824, 0xaf830228,
+0x910c0, 0x2e21821, 0x3402c000, 0x8001e4e,
+0xa462727c, 0x8f420214, 0xafa20010, 0x910c0,
+0x571021, 0x8c42727c, 0x3c040001, 0x24844b94,
+0x3c050003, 0xafa20014, 0x8f470210, 0x34a5f01c,
+0xc002407, 0x1203021, 0x8001e83, 0x3c020800,
+0xb71021, 0x9443727e, 0x97420212, 0x14620019,
+0x918c0, 0xb71021, 0x8c437280, 0x8f420214,
+0x14620014, 0x918c0, 0x2e51021, 0x9447727c,
+0x720c0, 0x971021, 0x9443737e, 0xb71021,
+0xa443727e, 0x971021, 0x8c437380, 0xb71021,
+0xac437280, 0x2e41021, 0x9443737c, 0x2e51021,
+0xa443727c, 0x2e41821, 0x3402c000, 0x8001e4e,
+0xa462737c, 0x2e31021, 0x9447727c, 0x3021,
+0x720c0, 0x2e41021, 0x9442737c, 0x4021,
+0x30428000, 0x14400025, 0xe02821, 0x605021,
+0x340bc000, 0x971021, 0x9443737e, 0x97420212,
+0x54620015, 0xe02821, 0x971021, 0x8c437380,
+0x8f420214, 0x54620010, 0xe02821, 0x11000006,
+0x2e41021, 0x9443737c, 0x510c0, 0x2e21021,
+0x8001e1a, 0xa443737c, 0x9443737c, 0x2ea1021,
+0xa443727c, 0x710c0, 0x2e21021, 0xa44b737c,
+0x8001e28, 0x24060001, 0x510c0, 0x2e21021,
+0x9447737c, 0x720c0, 0x2e41021, 0x9442737c,
+0x30428000, 0x1040ffdf, 0x25080001, 0x30c200ff,
+0x14400025, 0x2021, 0x720c0, 0x971021,
+0x9443737e, 0x97420212, 0x1462000f, 0x910c0,
+0x971021, 0x8c437380, 0x8f420214, 0x1462000a,
+0x910c0, 0x2e41821, 0x3402c000, 0x15000015,
+0xa462737c, 0x910c0, 0x2e21821, 0x34028000,
+0x8001e4e, 0xa462727c, 0x571021, 0x8c42727c,
+0x3c040001, 0x24844ba0, 0x3c050003, 0xafa20010,
+0x710c0, 0x571021, 0x8c42737c, 0x34a5001e,
+0x1203021, 0xc002407, 0xafa20014, 0x8001e83,
+0x3c020800, 0x2021, 0x428c0, 0xb71021,
+0x9443777e, 0x97420212, 0x5462002b, 0x24840001,
+0xb71021, 0x8c437780, 0x8f420214, 0x54620026,
+0x24840001, 0x3c020001, 0x571021, 0x8c4283b4,
+0x2442ffff, 0x3c010001, 0x370821, 0xac2283b4,
+0x3c020001, 0x571021, 0x8c4283b4, 0x809021,
+0x242102b, 0x1040000e, 0x24b1777c, 0x24b07784,
+0x2f02021, 0x2f12821, 0xc002494, 0x24060008,
+0x26310008, 0x3c020001, 0x571021, 0x8c4283b4,
+0x26520001, 0x242102b, 0x1440fff5, 0x26100008,
+0x3c040001, 0x972021, 0x8c8483b4, 0x24050008,
+0x420c0, 0x2484777c, 0xc00248c, 0x2e42021,
+0x8001e83, 0x3c020800, 0x2c820080, 0x1440ffcf,
+0x428c0, 0x3c020800, 0x34422000, 0xafa20018,
+0x8ee20608, 0x8f430228, 0x24420001, 0x304a00ff,
+0x514300fd, 0xafa00010, 0x8ee20608, 0x210c0,
+0x571021, 0x8fa30018, 0x8fa4001c, 0xac43060c,
+0xac440610, 0x8f830054, 0x8f820054, 0x24690032,
+0x1221023, 0x2c420033, 0x1040006a, 0x5821,
+0x24100008, 0x240f000d, 0x240d0007, 0x240c0040,
+0x240e0001, 0x8f870120, 0x27623800, 0x24e80020,
+0x102102b, 0x50400001, 0x27683000, 0x8f820128,
+0x11020004, 0x0, 0x8f820124, 0x15020007,
+0x1021, 0x8ee201a4, 0x3821, 0x24420001,
+0xaee201a4, 0x8001efb, 0x8ee201a4, 0x8ee40608,
+0x420c0, 0x801821, 0x8ee40430, 0x8ee50434,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xace40000, 0xace50004, 0x8ee20608, 0xa4f0000e,
+0xacef0018, 0xacea001c, 0x210c0, 0x2442060c,
+0x2e21021, 0xace20008, 0x8ee204c4, 0xace20010,
+0xaf880120, 0x92e24e20, 0x14400033, 0x24070001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x144d001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x104c0007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8001ee8,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x8001efb,
+0x0, 0x8ee24e30, 0x24420001, 0x504c0003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac8d0000, 0xac8e0004, 0x54e00006, 0x240b0001,
+0x8f820054, 0x1221023, 0x2c420033, 0x1440ff9d,
+0x0, 0x316300ff, 0x24020001, 0x54620078,
+0xafa00010, 0xaeea0608, 0x8f830054, 0x8f820054,
+0x24690032, 0x1221023, 0x2c420033, 0x10400061,
+0x5821, 0x240e0008, 0x240d0011, 0x240a0012,
+0x24080040, 0x240c0001, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x3821,
+0x24420001, 0xaee201a4, 0x8001f67, 0x8ee201a4,
+0x8ee20608, 0xac62001c, 0x8ee404a0, 0x8ee504a4,
+0x2462001c, 0xac620008, 0xa46e000e, 0xac6d0018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400033, 0x24070001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x144a001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10480007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8001f54,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x8001f67,
+0x0, 0x8ee24e30, 0x24420001, 0x50480003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac8a0000, 0xac8c0004, 0x54e00006, 0x240b0001,
+0x8f820054, 0x1221023, 0x2c420033, 0x1440ffa6,
+0x0, 0x316300ff, 0x24020001, 0x10620022,
+0x0, 0x3c040001, 0x24844b70, 0xafa00010,
+0xafa00014, 0x8f860120, 0x8f870124, 0x3c050009,
+0xc002407, 0x34a5f011, 0x8001f93, 0x0,
+0x3c040001, 0x24844b7c, 0xafa00014, 0x8f860120,
+0x8f870124, 0x3c050009, 0xc002407, 0x34a5f010,
+0x8001f93, 0x0, 0x3c040001, 0x24844b88,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f00f, 0x8ee201ac, 0x24420001,
+0xaee201ac, 0x8ee201ac, 0x8ee20128, 0x24420001,
+0xaee20128, 0x8ee20128, 0x8ee20164, 0x24420001,
+0xaee20164, 0x80022e8, 0x8ee20164, 0x8fa20020,
+0x21200, 0x21d02, 0x24020001, 0x10620005,
+0x24020002, 0x1062000d, 0x0, 0x8001fb7,
+0xafa00010, 0x92e204d8, 0x14400006, 0x24020001,
+0x8f820228, 0xaee204dc, 0x2402ffff, 0xaf820228,
+0x24020001, 0x8001fbe, 0xa2e204d8, 0x92e204d8,
+0x5040000c, 0xa2e004d8, 0x8ee204dc, 0xaf820228,
+0x8001fbe, 0xa2e004d8, 0x3c040001, 0x24844ba8,
+0xafa00014, 0x8fa60020, 0x3c050003, 0xc002407,
+0x34a5f009, 0x8ee2013c, 0x24420001, 0xaee2013c,
+0x80022e8, 0x8ee2013c, 0x8fa20020, 0x21200,
+0x22502, 0x24020001, 0x10820005, 0x24020002,
+0x1082000f, 0x0, 0x8001fe3, 0xafa00010,
+0x8f820220, 0x3c0308ff, 0x3463ffff, 0x431024,
+0x34420008, 0xaf820220, 0x24020001, 0x3c010001,
+0x370821, 0xa02283b2, 0x8001fea, 0xaee40108,
+0x8f820220, 0x3c0308ff, 0x3463fff7, 0x431024,
+0xaf820220, 0x3c010001, 0x370821, 0xa02083b2,
+0x8001fea, 0xaee40108, 0x3c040001, 0x24844bb4,
+0xafa00014, 0x8fa60020, 0x3c050003, 0xc002407,
+0x34a5f00a, 0x8ee2012c, 0x24420001, 0xaee2012c,
+0x80022e8, 0x8ee2012c, 0x8fa20020, 0x21200,
+0x21d02, 0x24020001, 0x10620005, 0x24020002,
+0x1062000e, 0x0, 0x8002011, 0xafa00010,
+0x8f820220, 0x3c0308ff, 0x3463ffff, 0x431024,
+0x34420008, 0xaf820220, 0x24020001, 0x3c010001,
+0x370821, 0x8002018, 0xa02283b3, 0x3c020001,
+0x571021, 0x904283b2, 0x3c010001, 0x370821,
+0x1440000e, 0xa02083b3, 0x8f820220, 0x3c0308ff,
+0x3463fff7, 0x431024, 0x8002018, 0xaf820220,
+0x3c040001, 0x24844bc0, 0xafa00014, 0x8fa60020,
+0x3c050003, 0xc002407, 0x34a5f00b, 0x8ee20114,
+0x24420001, 0xaee20114, 0x80022e8, 0x8ee20114,
+0x27840208, 0x27450200, 0xc00249e, 0x24060008,
+0x26e40094, 0x27450200, 0xc00249e, 0x24060008,
+0x8ee20134, 0x24420001, 0xaee20134, 0x80022e8,
+0x8ee20134, 0x8f460248, 0x2021, 0xc004fa8,
+0x24050004, 0x8ee20130, 0x24420001, 0xaee20130,
+0x80022e8, 0x8ee20130, 0x8ef301cc, 0x8ef401d0,
+0x8ef501d8, 0x8ee20140, 0x26e40030, 0x24420001,
+0xaee20140, 0x8ef00140, 0x8ef10074, 0x8ef20070,
+0xc00248c, 0x24050400, 0xaef301cc, 0xaef401d0,
+0xaef501d8, 0xaef00140, 0xaef10074, 0xaef20070,
+0x8f42025c, 0x26e40094, 0xaee20060, 0x8f420260,
+0x27450200, 0x24060008, 0xaee20068, 0x24020006,
+0xc00249e, 0xaee20064, 0x3c023b9a, 0x3442ca00,
+0xaee2006c, 0x240203e8, 0x24040002, 0x24030001,
+0xaee20104, 0xaee40100, 0xaee3010c, 0x8f820220,
+0x30420008, 0x10400004, 0x0, 0xaee30108,
+0x8002061, 0x2021, 0xaee40108, 0x2021,
+0x3c030001, 0x641821, 0x906353f0, 0x2e41021,
+0x24840001, 0xa043009c, 0x2c82000f, 0x1440fff8,
+0x0, 0x8f820040, 0x2e41821, 0x24840001,
+0x21702, 0x24420030, 0xa062009c, 0x2e41021,
+0x80022e8, 0xa040009c, 0x24020001, 0x3c010001,
+0x370821, 0xa02283e0, 0x240b0400, 0x24080014,
+0x240a0040, 0x24090001, 0x8f830100, 0x27623000,
+0x24660020, 0xc2102b, 0x50400001, 0x27662800,
+0x8f820108, 0x10c20004, 0x0, 0x8f820104,
+0x14c20007, 0x26e20030, 0x8ee201a8, 0x3821,
+0x24420001, 0xaee201a8, 0x80020a8, 0x8ee201a8,
+0x8ee404b8, 0x8ee504bc, 0xac620008, 0xa46b000e,
+0xac680018, 0xac60001c, 0xac640000, 0xac650004,
+0x8ee204cc, 0xac620010, 0xaf860100, 0x92e204ec,
+0x1440000e, 0x24070001, 0x8ee24e28, 0x24420001,
+0x504a0003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e21021, 0xac480000, 0xac490004, 0x10e0ffd2,
+0x0, 0x80022e8, 0x0, 0x3c020900,
+0xaee05238, 0xaee0523c, 0xaee05240, 0xaee05244,
+0xaee001d0, 0x3c010001, 0x370821, 0xa02083b1,
+0xafa20018, 0x8ee20608, 0x8f430228, 0x24420001,
+0x304a00ff, 0x514300fd, 0xafa00010, 0x8ee20608,
+0x210c0, 0x571021, 0x8fa30018, 0x8fa4001c,
+0xac43060c, 0xac440610, 0x8f830054, 0x8f820054,
+0x24690032, 0x1221023, 0x2c420033, 0x1040006a,
+0x5821, 0x24100008, 0x240f000d, 0x240d0007,
+0x240c0040, 0x240e0001, 0x8f870120, 0x27623800,
+0x24e80020, 0x102102b, 0x50400001, 0x27683000,
+0x8f820128, 0x11020004, 0x0, 0x8f820124,
+0x15020007, 0x1021, 0x8ee201a4, 0x3821,
+0x24420001, 0xaee201a4, 0x800212c, 0x8ee201a4,
+0x8ee40608, 0x420c0, 0x801821, 0x8ee40430,
+0x8ee50434, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee20608,
+0xa4f0000e, 0xacef0018, 0xacea001c, 0x210c0,
+0x2442060c, 0x2e21021, 0xace20008, 0x8ee204c4,
+0xace20010, 0xaf880120, 0x92e24e20, 0x14400033,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x144d001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x104c0007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8002119, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x800212c, 0x0, 0x8ee24e30, 0x24420001,
+0x504c0003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac8d0000, 0xac8e0004, 0x54e00006,
+0x240b0001, 0x8f820054, 0x1221023, 0x2c420033,
+0x1440ff9d, 0x0, 0x316300ff, 0x24020001,
+0x54620078, 0xafa00010, 0xaeea0608, 0x8f830054,
+0x8f820054, 0x24690032, 0x1221023, 0x2c420033,
+0x10400061, 0x5821, 0x240e0008, 0x240d0011,
+0x240a0012, 0x24080040, 0x240c0001, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x3821, 0x24420001, 0xaee201a4, 0x8002198,
+0x8ee201a4, 0x8ee20608, 0xac62001c, 0x8ee404a0,
+0x8ee504a4, 0x2462001c, 0xac620008, 0xa46e000e,
+0xac6d0018, 0xac640000, 0xac650004, 0x8ee204c4,
+0xac620010, 0xaf860120, 0x92e24e20, 0x14400033,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x144a001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x10480007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8002185, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x8002198, 0x0, 0x8ee24e30, 0x24420001,
+0x50480003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac8a0000, 0xac8c0004, 0x54e00006,
+0x240b0001, 0x8f820054, 0x1221023, 0x2c420033,
+0x1440ffa6, 0x0, 0x316300ff, 0x24020001,
+0x10620022, 0x0, 0x3c040001, 0x24844b70,
+0xafa00010, 0xafa00014, 0x8f860120, 0x8f870124,
+0x3c050009, 0xc002407, 0x34a5f011, 0x80021c4,
+0x0, 0x3c040001, 0x24844b7c, 0xafa00014,
+0x8f860120, 0x8f870124, 0x3c050009, 0xc002407,
+0x34a5f010, 0x80021c4, 0x0, 0x3c040001,
+0x24844b88, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f00f, 0x8ee201ac,
+0x24420001, 0xaee201ac, 0x8ee201ac, 0x8ee20120,
+0x24420001, 0xaee20120, 0x8ee20120, 0x8ee20168,
+0x24420001, 0xaee20168, 0x80022e8, 0x8ee20168,
+0x8f42025c, 0x26e40094, 0xaee20060, 0x8f420260,
+0x27450200, 0x24060008, 0xc00249e, 0xaee20068,
+0x8f820220, 0x30420008, 0x14400002, 0x24020001,
+0x24020002, 0xaee20108, 0x8ee2011c, 0x24420001,
+0xaee2011c, 0x80022e8, 0x8ee2011c, 0x3c040001,
+0x24844bcc, 0xafa00010, 0xafa00014, 0x8fa60020,
+0x3c050003, 0xc002407, 0x34a5f00f, 0x93a20020,
+0x3c030700, 0x34631000, 0x431025, 0xafa20018,
+0x8ee20608, 0x8f430228, 0x24420001, 0x304900ff,
+0x512300e2, 0xafa00010, 0x8ee20608, 0x210c0,
+0x571021, 0x8fa30018, 0x8fa4001c, 0xac43060c,
+0xac440610, 0x8f870120, 0x27623800, 0x24e80020,
+0x102102b, 0x50400001, 0x27683000, 0x8f820128,
+0x11020004, 0x0, 0x8f820124, 0x15020007,
+0x1021, 0x8ee201a4, 0x3821, 0x24420001,
+0xaee201a4, 0x800225d, 0x8ee201a4, 0x8ee40608,
+0x420c0, 0x801821, 0x8ee40430, 0x8ee50434,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xace40000, 0xace50004, 0x8ee30608, 0x24020008,
+0xa4e2000e, 0x2402000d, 0xace20018, 0xace9001c,
+0x318c0, 0x2463060c, 0x2e31021, 0xace20008,
+0x8ee204c4, 0xace20010, 0xaf880120, 0x92e24e20,
+0x14400037, 0x24070001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c830000, 0x24020007,
+0x1462001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee54e30, 0x24420001,
+0x10430007, 0x0, 0x8ee24e34, 0x24420001,
+0x10a20005, 0x0, 0x8002247, 0x0,
+0x14a00005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x800225d, 0x0,
+0x8ee24e30, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020007, 0xac820000, 0x24020001, 0xac820004,
+0x54e0000c, 0xaee90608, 0x3c040001, 0x24844bd4,
+0xafa00010, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f000, 0x80022e0,
+0x0, 0x8f830120, 0x27623800, 0x24660020,
+0xc2102b, 0x50400001, 0x27663000, 0x8f820128,
+0x10c20004, 0x0, 0x8f820124, 0x14c20007,
+0x0, 0x8ee201a4, 0x3821, 0x24420001,
+0xaee201a4, 0x80022c4, 0x8ee201a4, 0x8ee20608,
+0xac62001c, 0x8ee404a0, 0x8ee504a4, 0x2462001c,
+0xac620008, 0x24020008, 0xa462000e, 0x24020011,
+0xac620018, 0xac640000, 0xac650004, 0x8ee204c4,
+0xac620010, 0xaf860120, 0x92e24e20, 0x14400037,
+0x24070001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c830000, 0x24020012, 0x1462001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee54e30, 0x24420001, 0x10430007,
+0x0, 0x8ee24e34, 0x24420001, 0x10a20005,
+0x0, 0x80022ae, 0x0, 0x14a00005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x80022c4, 0x0, 0x8ee24e30,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x24020012,
+0xac820000, 0x24020001, 0xac820004, 0x14e0001b,
+0x0, 0x3c040001, 0x24844bdc, 0xafa00010,
+0xafa00014, 0x8ee60608, 0x8f470228, 0x3c050009,
+0xc002407, 0x34a5f001, 0x8ee201b0, 0x24420001,
+0xaee201b0, 0x80022e0, 0x8ee201b0, 0x3c040001,
+0x24844be8, 0xafa00014, 0x8ee60608, 0x8f470228,
+0x3c050009, 0xc002407, 0x34a5f005, 0x8ee201ac,
+0x24420001, 0xaee201ac, 0x8ee201ac, 0x8ee20150,
+0x24420001, 0xaee20150, 0x8ee20150, 0x8ee20160,
+0x24420001, 0xaee20160, 0x8ee20160, 0x8f43022c,
+0x8f42010c, 0x14620009, 0x24020002, 0xaf820064,
+0x8f820064, 0x14400005, 0x0, 0x8f43022c,
+0x8f42010c, 0x1462f875, 0x0, 0x8fbf0044,
+0x8fb60040, 0x8fb5003c, 0x8fb40038, 0x8fb30034,
+0x8fb20030, 0x8fb1002c, 0x8fb00028, 0x3e00008,
+0x27bd0048, 0x27bdfff8, 0x2408ffff, 0x10a00014,
+0x4821, 0x3c0aedb8, 0x354a8320, 0x90870000,
+0x24840001, 0x3021, 0x1071026, 0x30420001,
+0x10400002, 0x81842, 0x6a1826, 0x604021,
+0x24c60001, 0x2cc20008, 0x1440fff7, 0x73842,
+0x25290001, 0x125102b, 0x1440fff0, 0x0,
+0x1001021, 0x3e00008, 0x27bd0008, 0x27bdffe8,
+0x27642800, 0xafbf0010, 0xc00248c, 0x24051000,
+0x24020021, 0xaf800100, 0xaf800104, 0xaf800108,
+0xaf800110, 0xaf800114, 0xaf800118, 0xaf800120,
+0xaf800124, 0xaf800128, 0xaf800130, 0xaf800134,
+0xaf800138, 0xaee04e28, 0xaee04e2c, 0xaee04e30,
+0xaee04e34, 0xaf82011c, 0x8f420218, 0x30420040,
+0x10400004, 0x0, 0x8f82011c, 0x34420004,
+0xaf82011c, 0x8fbf0010, 0x3e00008, 0x27bd0018,
+0x27bdffe0, 0xafbf0018, 0x8f820104, 0xafa20010,
+0x8f820100, 0x3c050002, 0xafa20014, 0x8f8600b0,
+0x8f87011c, 0x3c040001, 0x24844ca0, 0xc002407,
+0x34a5f000, 0x8f8300b0, 0x3c027f00, 0x621824,
+0x3c020400, 0x1062002b, 0x43102b, 0x14400008,
+0x3c022000, 0x3c020100, 0x10620026, 0x3c020200,
+0x10620013, 0x0, 0x8002376, 0x0,
+0x1062000a, 0x43102b, 0x1040001e, 0x3c024000,
+0x1462001c, 0x0, 0x8ee20190, 0x24420001,
+0xaee20190, 0x8002376, 0x8ee20190, 0x8ee2018c,
+0x24420001, 0xaee2018c, 0x8002376, 0x8ee2018c,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f830104,
+0x8f8200b0, 0x34420001, 0xaf8200b0, 0xaf830104,
+0x8f82011c, 0x2403fffd, 0x431024, 0xaf82011c,
+0x8ee201a0, 0x24420001, 0xaee201a0, 0x8002379,
+0x8ee201a0, 0x8f8200b0, 0x34420001, 0xaf8200b0,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x27bdffe0,
+0xafbf001c, 0xafb00018, 0x8f820120, 0xafa20010,
+0x8f820124, 0x3c050001, 0xafa20014, 0x8f8600a0,
+0x8f87011c, 0x3c040001, 0x24844cac, 0xc002407,
+0x34a5f000, 0x8f8300a0, 0x3c027f00, 0x621824,
+0x3c020400, 0x10620055, 0x8021, 0x43102b,
+0x14400008, 0x3c042000, 0x3c020100, 0x1062004f,
+0x3c020200, 0x1062003c, 0x0, 0x80023e4,
+0x0, 0x10640005, 0x83102b, 0x10400047,
+0x3c024000, 0x14620045, 0x0, 0x8f8200a0,
+0x441024, 0x10400006, 0x0, 0x8ee20194,
+0x24420001, 0xaee20194, 0x80023ad, 0x8ee20194,
+0x8ee20198, 0x24420001, 0xaee20198, 0x8ee20198,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f82011c,
+0x30420200, 0x1040001b, 0x0, 0x8f8300a0,
+0x8f840124, 0x8f8200ac, 0x14400007, 0x24020001,
+0x3c020001, 0x3442f000, 0x621024, 0x50400001,
+0x24100001, 0x24020001, 0x1200000d, 0xaf8200a0,
+0x8f820124, 0x2442ffe0, 0xaf820124, 0x8f820124,
+0x8f820124, 0x27633000, 0x43102b, 0x10400005,
+0x276237e0, 0xaf820124, 0x80023ce, 0x0,
+0xaf840124, 0x8f82011c, 0x2403fffd, 0x431024,
+0x80023e7, 0xaf82011c, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f830124, 0x8f8200a0, 0x34420001,
+0xaf8200a0, 0xaf830124, 0x8f82011c, 0x2403fffd,
+0x431024, 0xaf82011c, 0x8ee2019c, 0x24420001,
+0xaee2019c, 0x80023e7, 0x8ee2019c, 0x8f8200a0,
+0x34420001, 0xaf8200a0, 0x8fbf001c, 0x8fb00018,
+0x3e00008, 0x27bd0020, 0x0, 0x3c020001,
+0x8c425418, 0x27bdffe8, 0xafbf0014, 0x14400012,
+0xafb00010, 0x3c100001, 0x26105560, 0x2002021,
+0xc00248c, 0x24052000, 0x26021fe0, 0x3c010001,
+0xac225534, 0x3c010001, 0xac225530, 0xaf420250,
+0x24022000, 0xaf500254, 0xaf420258, 0x24020001,
+0x3c010001, 0xac225418, 0x8fbf0014, 0x8fb00010,
+0x3e00008, 0x27bd0018, 0x3c030001, 0x8c635534,
+0x8c820000, 0x8fa80010, 0x8fa90014, 0xac620000,
+0x3c020001, 0x8c425534, 0x8c830004, 0xac430004,
+0xac450008, 0x8f840054, 0x2443ffe0, 0xac460010,
+0xac470014, 0xac480018, 0xac49001c, 0x3c010001,
+0xac235534, 0xac44000c, 0x3c020001, 0x24425560,
+0x62182b, 0x10600005, 0x0, 0x3c020001,
+0x8c425530, 0x3c010001, 0xac225534, 0x3c030001,
+0x8c635534, 0x3c020001, 0x8c425400, 0xac620000,
+0x3c030001, 0x8c635534, 0x3c020001, 0x8c425400,
+0xac620004, 0x3e00008, 0xaf430250, 0x3c030001,
+0x8c635534, 0x3c020001, 0x8c425400, 0x27bdffd0,
+0xafb40020, 0x8fb40040, 0xafb00010, 0x808021,
+0xafb50024, 0x8fb50044, 0x8fa40048, 0xafb10014,
+0xa08821, 0xafbf0028, 0xafb3001c, 0xafb20018,
+0xac620000, 0x3c050001, 0x8ca55534, 0x3c020001,
+0x8c425400, 0xc09021, 0xe09821, 0x10800006,
+0xaca20004, 0x24a50008, 0xc002494, 0x24060018,
+0x8002452, 0x0, 0x24a40008, 0xc00248c,
+0x24050018, 0x3c020001, 0x8c425534, 0x3c050001,
+0x24a55560, 0x2442ffe0, 0x3c010001, 0xac225534,
+0x45102b, 0x10400005, 0x0, 0x3c020001,
+0x8c425530, 0x3c010001, 0xac225534, 0x3c030001,
+0x8c635534, 0x8e020000, 0xac620000, 0x3c030001,
+0x8c635534, 0x8e020004, 0xac620004, 0xac710008,
+0x8f840054, 0x2462ffe0, 0x3c010001, 0xac225534,
+0x45102b, 0xac720010, 0xac730014, 0xac740018,
+0xac75001c, 0x10400005, 0xac64000c, 0x3c020001,
+0x8c425530, 0x3c010001, 0xac225534, 0x3c030001,
+0x8c635534, 0x3c020001, 0x8c425400, 0xac620000,
+0x3c030001, 0x8c635534, 0x3c020001, 0x8c425400,
+0xac620004, 0xaf430250, 0x8fbf0028, 0x8fb50024,
+0x8fb40020, 0x8fb3001c, 0x8fb20018, 0x8fb10014,
+0x8fb00010, 0x3e00008, 0x27bd0030, 0x10a00005,
+0x0, 0xac800000, 0x24a5fffc, 0x14a0fffd,
+0x24840004, 0x3e00008, 0x0, 0x10c00007,
+0x0, 0x8c820000, 0x24840004, 0x24c6fffc,
+0xaca20000, 0x14c0fffb, 0x24a50004, 0x3e00008,
+0x0, 0x10c00007, 0x0, 0x8ca20000,
+0x24a50004, 0x24c6fffc, 0xac820000, 0x14c0fffb,
+0x24840004, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x27bdffd8, 0xafbf0020, 0x8ee304e4,
+0x8ee204e0, 0x10620436, 0x0, 0x8ee204e4,
+0x8ee304fc, 0x21100, 0x626021, 0x95870008,
+0x8d8a0000, 0x8d8b0004, 0x958d000a, 0x8ee2725c,
+0x8ee3726c, 0x30e4ffff, 0x441021, 0x62182b,
+0x10600015, 0x31a20004, 0x8f8200d8, 0x8ee37258,
+0x431023, 0xaee2726c, 0x8ee2726c, 0x1c400003,
+0x3c030001, 0x431021, 0xaee2726c, 0x8ee2725c,
+0x8ee3726c, 0x441021, 0x62182b, 0x10600006,
+0x31a20004, 0x8ee201b8, 0x24420001, 0xaee201b8,
+0x80028e5, 0x8ee201b8, 0x10400240, 0x31a20200,
+0x1040014d, 0x4821, 0x96e2045a, 0x30420010,
+0x10400149, 0x0, 0x8f840100, 0x27623000,
+0x24850020, 0xa2102b, 0x50400001, 0x27652800,
+0x8f820108, 0x10a20004, 0x0, 0x8f820104,
+0x14a20006, 0x2402000c, 0x8ee201a8, 0x24420001,
+0xaee201a8, 0x8002530, 0x8ee201a8, 0xac8a0000,
+0xac8b0004, 0x8ee37264, 0x24060005, 0xa482000e,
+0xac860018, 0xac830008, 0x8ee204e4, 0xac82001c,
+0x8ee204c8, 0xac820010, 0xaf850100, 0x92e204ec,
+0x14400036, 0x24090001, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x8c820000, 0x1446001f,
+0x0, 0x8ee34e28, 0x8ee24e2c, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e2c, 0x8ee54e28, 0x24420001, 0x10430007,
+0x0, 0x8ee24e2c, 0x24420001, 0x10a20005,
+0x0, 0x800251a, 0x0, 0x14a00005,
+0x0, 0x8f820108, 0x24420020, 0xaf820108,
+0x8f820108, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x8002530, 0x0, 0x8ee24e28,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e28, 0x24420001, 0xaee24e28, 0x8ee24e28,
+0x210c0, 0x24424e38, 0x2e22021, 0x24020005,
+0xac820000, 0x24020001, 0xac820004, 0x1520000a,
+0x3c040001, 0xafab0010, 0x8ee27264, 0x3c040001,
+0x24844f10, 0x3c050004, 0xafa20014, 0x8ee604e4,
+0x80028c2, 0x34a5f114, 0x8ee27264, 0x34843800,
+0x3641821, 0x24420010, 0x43102b, 0x14400073,
+0x0, 0x8ee27264, 0x24480010, 0x3641021,
+0x102102b, 0x14400002, 0x3c02ffff, 0x1024021,
+0x8f850100, 0x27623000, 0x24a60020, 0xc2102b,
+0x50400001, 0x27662800, 0x8f820108, 0x10c20004,
+0x0, 0x8f820104, 0x14c20007, 0x2563000c,
+0x8ee201a8, 0x4821, 0x24420001, 0xaee201a8,
+0x80025a4, 0x8ee201a8, 0x2c64000c, 0x1441021,
+0xaca20000, 0xaca30004, 0x24e2fff4, 0xa4a2000e,
+0x24020006, 0xaca80008, 0xaca20018, 0x8ee204e4,
+0xaca2001c, 0x8ee204c8, 0x3c030002, 0x431025,
+0xaca20010, 0xaf860100, 0x92e204ec, 0x14400037,
+0x24090001, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x8c830000, 0x24020005, 0x1462001f,
+0x0, 0x8ee34e28, 0x8ee24e2c, 0x1062001b,
+0x24030040, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e2c, 0x8ee54e28, 0x24420001, 0x10430007,
+0x0, 0x8ee24e2c, 0x24420001, 0x10a20005,
+0x0, 0x800258e, 0x0, 0x14a00005,
+0x0, 0x8f820108, 0x24420020, 0xaf820108,
+0x8f820108, 0x8c820004, 0x2c420011, 0x50400013,
+0xac800000, 0x80025a4, 0x0, 0x8ee24e28,
+0x24030040, 0x24420001, 0x50430003, 0x1021,
+0x8ee24e28, 0x24420001, 0xaee24e28, 0x8ee24e28,
+0x210c0, 0x24424e38, 0x2e22021, 0x24020005,
+0xac820000, 0x24020001, 0xac820004, 0x1520000a,
+0x2508fffc, 0xafab0010, 0x8ee27264, 0x3c040001,
+0x24844f10, 0x3c050004, 0xafa20014, 0x8ee604e4,
+0x80028c2, 0x34a5f125, 0x34028100, 0xa5020000,
+0x9582000e, 0x8002621, 0xa5020002, 0x8f850100,
+0x27623000, 0x24a60020, 0xc2102b, 0x50400001,
+0x27662800, 0x8f820108, 0x10c20004, 0x0,
+0x8f820104, 0x14c20007, 0x2563000c, 0x8ee201a8,
+0x4821, 0x24420001, 0xaee201a8, 0x8002611,
+0x8ee201a8, 0x2c64000c, 0x1441021, 0xaca20000,
+0xaca30004, 0x8ee37264, 0x24e2fff4, 0xa4a2000e,
+0x24020006, 0xaca20018, 0x24630010, 0xaca30008,
+0x8ee204e4, 0xaca2001c, 0x8ee204c8, 0x3c030002,
+0x431025, 0xaca20010, 0xaf860100, 0x92e204ec,
+0x14400037, 0x24090001, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x8c830000, 0x24020005,
+0x1462001f, 0x0, 0x8ee34e28, 0x8ee24e2c,
+0x1062001b, 0x24030040, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e2c, 0x8ee54e28, 0x24420001,
+0x10430007, 0x0, 0x8ee24e2c, 0x24420001,
+0x10a20005, 0x0, 0x80025fb, 0x0,
+0x14a00005, 0x0, 0x8f820108, 0x24420020,
+0xaf820108, 0x8f820108, 0x8c820004, 0x2c420011,
+0x50400013, 0xac800000, 0x8002611, 0x0,
+0x8ee24e28, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e28, 0x24420001, 0xaee24e28,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x24020005, 0xac820000, 0x24020001, 0xac820004,
+0x1520000a, 0x34028100, 0xafab0010, 0x8ee27264,
+0x3c040001, 0x24844f10, 0x3c050004, 0xafa20014,
+0x8ee604e4, 0x80028c2, 0x34a5f015, 0x8ee37264,
+0xa462000c, 0x8ee37264, 0x9582000e, 0xa462000e,
+0x8002685, 0x24e70004, 0x8f840100, 0x27623000,
+0x24850020, 0xa2102b, 0x50400001, 0x27652800,
+0x8f820108, 0x10a20004, 0x0, 0x8f820104,
+0x14a20007, 0x24020006, 0x8ee201a8, 0x4821,
+0x24420001, 0xaee201a8, 0x800267b, 0x8ee201a8,
+0xac8a0000, 0xac8b0004, 0x8ee37264, 0xa487000e,
+0xac820018, 0xac830008, 0x8ee204e4, 0xac82001c,
+0x8ee204c8, 0x3c030002, 0x431025, 0xac820010,
+0xaf850100, 0x92e204ec, 0x14400037, 0x24090001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c830000, 0x24020005, 0x1462001f, 0x0,
+0x8ee34e28, 0x8ee24e2c, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e2c,
+0x8ee54e28, 0x24420001, 0x10430007, 0x0,
+0x8ee24e2c, 0x24420001, 0x10a20005, 0x0,
+0x8002665, 0x0, 0x14a00005, 0x0,
+0x8f820108, 0x24420020, 0xaf820108, 0x8f820108,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x800267b, 0x0, 0x8ee24e28, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e28,
+0x24420001, 0xaee24e28, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x24020005, 0xac820000,
+0x24020001, 0xac820004, 0x15200009, 0x3c050004,
+0xafab0010, 0x8ee27264, 0x3c040001, 0x24844f10,
+0xafa20014, 0x8ee604e4, 0x80028c2, 0x34a5f004,
+0x8ee2725c, 0x30e7ffff, 0x471021, 0xaee2725c,
+0x8ee204e4, 0x8ee304fc, 0x8ee47258, 0x21100,
+0x431021, 0xac44000c, 0x8ee27258, 0xafa20018,
+0x8ee3725c, 0xafa3001c, 0x8ee2725c, 0x2c42003c,
+0x10400004, 0x24620001, 0x2403fffe, 0x431024,
+0xafa2001c, 0x8ee27264, 0x3c060001, 0x34c63800,
+0x8ee3725c, 0x2405fff8, 0x471021, 0x24420007,
+0x451024, 0x24630007, 0xaee27258, 0x8ee2726c,
+0x8ee47258, 0x651824, 0x431023, 0xaee2726c,
+0x3661021, 0x82202b, 0x14800004, 0x3c03ffff,
+0x8ee27258, 0x431021, 0xaee27258, 0x8ee27258,
+0xaee27264, 0x8f8200f0, 0x24470008, 0x27621800,
+0xe2102b, 0x50400001, 0x27671000, 0x8f8200f4,
+0x14e20007, 0x0, 0x8ee201b4, 0x4821,
+0x24420001, 0xaee201b4, 0x80026c8, 0x8ee201b4,
+0x8f8200f0, 0x24090001, 0x8fa30018, 0x8fa4001c,
+0xac430000, 0xac440004, 0xaf8700f0, 0x15200012,
+0xd1142, 0x8f8200f0, 0xafa20010, 0x8f8200f4,
+0x3c040001, 0x24844f1c, 0xafa20014, 0x8fa60018,
+0x8fa7001c, 0x3c050004, 0xc002407, 0x34a5f005,
+0x8ee20088, 0x24420001, 0xaee20088, 0x8ee20088,
+0x80028d7, 0xaee0725c, 0x30430003, 0x24020002,
+0x10620016, 0x28620003, 0x10400005, 0x24020001,
+0x10620008, 0x0, 0x8002707, 0x0,
+0x24020003, 0x10620017, 0x0, 0x8002707,
+0x0, 0x8ee200e8, 0x8ee300ec, 0x24630001,
+0x2c640001, 0x441021, 0xaee200e8, 0xaee300ec,
+0x8ee200e8, 0x8002707, 0x8ee300ec, 0x8ee200f0,
+0x8ee300f4, 0x24630001, 0x2c640001, 0x441021,
+0xaee200f0, 0xaee300f4, 0x8ee200f0, 0x8002707,
+0x8ee300f4, 0x8ee200f8, 0x8ee300fc, 0x24630001,
+0x2c640001, 0x441021, 0xaee200f8, 0xaee300fc,
+0x8ee200f8, 0x8ee300fc, 0x8ee2725c, 0x8ee400e0,
+0x8ee500e4, 0x401821, 0x1021, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0xaee400e0,
+0xaee500e4, 0x80028d7, 0xaee0725c, 0x30e2ffff,
+0x104001c1, 0x31a20200, 0x1040014d, 0x4821,
+0x96e2045a, 0x30420010, 0x10400149, 0x0,
+0x8f840100, 0x27623000, 0x24850020, 0xa2102b,
+0x50400001, 0x27652800, 0x8f820108, 0x10a20004,
+0x0, 0x8f820104, 0x14a20006, 0x2402000c,
+0x8ee201a8, 0x24420001, 0xaee201a8, 0x8002772,
+0x8ee201a8, 0xac8a0000, 0xac8b0004, 0x8ee37264,
+0x24060005, 0xa482000e, 0xac860018, 0xac830008,
+0x8ee204e4, 0xac82001c, 0x8ee204c8, 0xac820010,
+0xaf850100, 0x92e204ec, 0x14400036, 0x24090001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c820000, 0x1446001f, 0x0, 0x8ee34e28,
+0x8ee24e2c, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e2c, 0x8ee54e28,
+0x24420001, 0x10430007, 0x0, 0x8ee24e2c,
+0x24420001, 0x10a20005, 0x0, 0x800275c,
+0x0, 0x14a00005, 0x0, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8002772,
+0x0, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x24020005, 0xac820000, 0x24020001,
+0xac820004, 0x1520000a, 0x3c040001, 0xafab0010,
+0x8ee27264, 0x3c040001, 0x24844f10, 0x3c050004,
+0xafa20014, 0x8ee604e4, 0x80028c2, 0x34a5f014,
+0x8ee27264, 0x34843800, 0x3641821, 0x24420010,
+0x43102b, 0x14400073, 0x0, 0x8ee27264,
+0x24480010, 0x3641021, 0x102102b, 0x14400002,
+0x3c02ffff, 0x1024021, 0x8f850100, 0x27623000,
+0x24a60020, 0xc2102b, 0x50400001, 0x27662800,
+0x8f820108, 0x10c20004, 0x0, 0x8f820104,
+0x14c20007, 0x2563000c, 0x8ee201a8, 0x4821,
+0x24420001, 0xaee201a8, 0x80027e6, 0x8ee201a8,
+0x2c64000c, 0x1441021, 0xaca20000, 0xaca30004,
+0x24e2fff4, 0xa4a2000e, 0x24020006, 0xaca80008,
+0xaca20018, 0x8ee204e4, 0xaca2001c, 0x8ee204c8,
+0x3c030002, 0x431025, 0xaca20010, 0xaf860100,
+0x92e204ec, 0x14400037, 0x24090001, 0x8ee24e28,
+0x210c0, 0x24424e38, 0x2e22021, 0x8c830000,
+0x24020005, 0x1462001f, 0x0, 0x8ee34e28,
+0x8ee24e2c, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e2c, 0x8ee54e28,
+0x24420001, 0x10430007, 0x0, 0x8ee24e2c,
+0x24420001, 0x10a20005, 0x0, 0x80027d0,
+0x0, 0x14a00005, 0x0, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x80027e6,
+0x0, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x24020005, 0xac820000, 0x24020001,
+0xac820004, 0x1520000a, 0x2508fffc, 0xafab0010,
+0x8ee27264, 0x3c040001, 0x24844f10, 0x3c050004,
+0xafa20014, 0x8ee604e4, 0x80028c2, 0x34a5f015,
+0x34028100, 0xa5020000, 0x9582000e, 0x8002863,
+0xa5020002, 0x8f850100, 0x27623000, 0x24a60020,
+0xc2102b, 0x50400001, 0x27662800, 0x8f820108,
+0x10c20004, 0x0, 0x8f820104, 0x14c20007,
+0x2563000c, 0x8ee201a8, 0x4821, 0x24420001,
+0xaee201a8, 0x8002853, 0x8ee201a8, 0x2c64000c,
+0x1441021, 0xaca20000, 0xaca30004, 0x8ee37264,
+0x24e2fff4, 0xa4a2000e, 0x24020006, 0xaca20018,
+0x24630010, 0xaca30008, 0x8ee204e4, 0xaca2001c,
+0x8ee204c8, 0x3c030002, 0x431025, 0xaca20010,
+0xaf860100, 0x92e204ec, 0x14400037, 0x24090001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c830000, 0x24020005, 0x1462001f, 0x0,
+0x8ee34e28, 0x8ee24e2c, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e2c,
+0x8ee54e28, 0x24420001, 0x10430007, 0x0,
+0x8ee24e2c, 0x24420001, 0x10a20005, 0x0,
+0x800283d, 0x0, 0x14a00005, 0x0,
+0x8f820108, 0x24420020, 0xaf820108, 0x8f820108,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x8002853, 0x0, 0x8ee24e28, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e28,
+0x24420001, 0xaee24e28, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x24020005, 0xac820000,
+0x24020001, 0xac820004, 0x1520000a, 0x34028100,
+0xafab0010, 0x8ee27264, 0x3c040001, 0x24844f10,
+0x3c050004, 0xafa20014, 0x8ee604e4, 0x80028c2,
+0x34a5f016, 0x8ee37264, 0xa462000c, 0x8ee37264,
+0x9582000e, 0xa462000e, 0x80028c6, 0x24e70004,
+0x8f830100, 0x27623000, 0x24640020, 0x82102b,
+0x50400001, 0x27642800, 0x8f820108, 0x10820004,
+0x0, 0x8f820104, 0x14820007, 0x24050005,
+0x8ee201a8, 0x4821, 0x24420001, 0xaee201a8,
+0x80028ba, 0x8ee201a8, 0xac6a0000, 0xac6b0004,
+0x8ee27264, 0xa467000e, 0xac650018, 0xac620008,
+0x8ee204e4, 0xac62001c, 0x8ee204c8, 0xac620010,
+0xaf840100, 0x92e204ec, 0x14400036, 0x24090001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c820000, 0x1445001f, 0x0, 0x8ee34e28,
+0x8ee24e2c, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e2c, 0x8ee54e28,
+0x24420001, 0x10430007, 0x0, 0x8ee24e2c,
+0x24420001, 0x10a20005, 0x0, 0x80028a4,
+0x0, 0x14a00005, 0x0, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x80028ba,
+0x0, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x24020005, 0xac820000, 0x24020001,
+0xac820004, 0x1520000b, 0x3c050004, 0x3c040001,
+0x24844f28, 0xafab0010, 0xafa00014, 0x8ee604e4,
+0x34a5f017, 0xc002407, 0x30e7ffff, 0x80028e5,
+0x0, 0x8ee27264, 0x3c050001, 0x30e4ffff,
+0x441021, 0xaee27264, 0x8ee2725c, 0x8ee37264,
+0x34a53800, 0x441021, 0xaee2725c, 0x3651021,
+0x62182b, 0x14600004, 0x3c03ffff, 0x8ee27264,
+0x431021, 0xaee27264, 0x8ee304e4, 0x96e20458,
+0x24630001, 0x2442ffff, 0x621824, 0xaee304e4,
+0x8ee304e4, 0x8ee204e0, 0x14620005, 0x0,
+0x8f820060, 0x2403fff7, 0x431024, 0xaf820060,
+0x8fbf0020, 0x3e00008, 0x27bd0028, 0x27bdffe0,
+0xafbf0018, 0x8ee304e8, 0x8ee204e0, 0x10620189,
+0x0, 0x8ee204e8, 0x8ee304fc, 0x21100,
+0x621821, 0x94670008, 0x92e204ed, 0x8c680000,
+0x8c690004, 0x10400023, 0x946a000a, 0x8ee204c8,
+0x34460400, 0x31420200, 0x1040001f, 0x0,
+0x96e2045a, 0x30420010, 0x1040001b, 0x3c028000,
+0x3c010001, 0x370821, 0xac2283d8, 0x8ee27264,
+0x9464000e, 0x3c050001, 0x34a53800, 0x24420004,
+0xaee27264, 0x8ee37264, 0x42400, 0x3651021,
+0x3c010001, 0x370821, 0xac2483dc, 0x62182b,
+0x14600005, 0x24e70004, 0x8ee27264, 0x3c03ffff,
+0x431021, 0xaee27264, 0x8ee27264, 0x800291b,
+0xaee27258, 0x8ee604c8, 0x8ee2726c, 0x30e4ffff,
+0x44102a, 0x10400015, 0x0, 0x8f8200d8,
+0x8ee37258, 0x431023, 0xaee2726c, 0x8ee2726c,
+0x1c400007, 0x44102a, 0x8ee2726c, 0x3c030001,
+0x431021, 0xaee2726c, 0x8ee2726c, 0x44102a,
+0x10400006, 0x0, 0x8ee201b8, 0x24420001,
+0xaee201b8, 0x8002a76, 0x8ee201b8, 0x3c020001,
+0x571021, 0x8c4283d8, 0x54400001, 0x24e7fffc,
+0x31420004, 0x104000b9, 0x30e2ffff, 0x3c020001,
+0x571021, 0x8c4283d8, 0x1040002f, 0x5021,
+0x8f840100, 0x27623000, 0x24850020, 0xa2102b,
+0x50400001, 0x27652800, 0x8f820108, 0x10a20032,
+0x0, 0x8f820104, 0x10a2002f, 0x24020015,
+0xac880000, 0xac890004, 0x8ee37264, 0xa487000e,
+0xac820018, 0xac830008, 0x8ee204e8, 0x3c030001,
+0x771821, 0x8c6383dc, 0xac860010, 0x431025,
+0xac82001c, 0xaf850100, 0x92e204ec, 0x14400066,
+0x240a0001, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e21821, 0x24020015, 0xac620000, 0x24020001,
+0x80029c3, 0xac620004, 0x8f840100, 0x27623000,
+0x24850020, 0xa2102b, 0x50400001, 0x27652800,
+0x8f820108, 0x10a20004, 0x0, 0x8f820104,
+0x14a20006, 0x24020006, 0x8ee201a8, 0x24420001,
+0xaee201a8, 0x80029c3, 0x8ee201a8, 0xac880000,
+0xac890004, 0x8ee37264, 0xa487000e, 0xac820018,
+0xac830008, 0x8ee204e8, 0xac860010, 0xac82001c,
+0xaf850100, 0x92e204ec, 0x14400037, 0x240a0001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c830000, 0x24020005, 0x1462001f, 0x0,
+0x8ee34e28, 0x8ee24e2c, 0x1062001b, 0x24030040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e2c,
+0x8ee54e28, 0x24420001, 0x10430007, 0x0,
+0x8ee24e2c, 0x24420001, 0x10a20005, 0x0,
+0x80029ad, 0x0, 0x14a00005, 0x0,
+0x8f820108, 0x24420020, 0xaf820108, 0x8f820108,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x80029c3, 0x0, 0x8ee24e28, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e28,
+0x24420001, 0xaee24e28, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e22021, 0x24020005, 0xac820000,
+0x24020001, 0xac820004, 0x1540000a, 0x24020001,
+0xafa90010, 0x8ee27264, 0x3c040001, 0x24844f10,
+0x3c050004, 0xafa20014, 0x8ee604e4, 0x8002a53,
+0x34a5f204, 0xa2e204ed, 0x8ee204e8, 0x8ee304fc,
+0x8ee47258, 0x3c060001, 0x34c63800, 0x3c010001,
+0x370821, 0xac2083d8, 0x3c010001, 0x370821,
+0xac2083dc, 0x21100, 0x431021, 0xac44000c,
+0x8ee27264, 0x2405fff8, 0x30e3ffff, 0x431021,
+0x24420007, 0x451024, 0x24630007, 0xaee27258,
+0x8ee2726c, 0x8ee47258, 0x651824, 0x431023,
+0xaee2726c, 0x3661021, 0x82202b, 0x14800004,
+0x3c03ffff, 0x8ee27258, 0x431021, 0xaee27258,
+0x8ee27258, 0x8002a68, 0xaee27264, 0x10400073,
+0x0, 0x8f830100, 0x27623000, 0x24640020,
+0x82102b, 0x14400002, 0x5021, 0x27642800,
+0x8f820108, 0x10820004, 0x0, 0x8f820104,
+0x14820006, 0x24050005, 0x8ee201a8, 0x24420001,
+0xaee201a8, 0x8002a4a, 0x8ee201a8, 0xac680000,
+0xac690004, 0x8ee27264, 0xa467000e, 0xac650018,
+0xac620008, 0x8ee204e8, 0xac660010, 0xac62001c,
+0xaf840100, 0x92e204ec, 0x14400036, 0x240a0001,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e22021,
+0x8c820000, 0x1445001f, 0x0, 0x8ee34e28,
+0x8ee24e2c, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e2c, 0x8ee54e28,
+0x24420001, 0x10430007, 0x0, 0x8ee24e2c,
+0x24420001, 0x10a20005, 0x0, 0x8002a34,
+0x0, 0x14a00005, 0x0, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8002a4a,
+0x0, 0x8ee24e28, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e28, 0x24420001,
+0xaee24e28, 0x8ee24e28, 0x210c0, 0x24424e38,
+0x2e22021, 0x24020005, 0xac820000, 0x24020001,
+0xac820004, 0x1540000c, 0x30e5ffff, 0x3c040001,
+0x24844f28, 0x3c050004, 0xafa90010, 0xafa00014,
+0x8ee604e4, 0x34a5f237, 0xc002407, 0x30e7ffff,
+0x8002a76, 0x0, 0x8ee27264, 0x451021,
+0xaee27264, 0x8ee2726c, 0x8ee37264, 0x3c040001,
+0x34843800, 0xa2e004ed, 0x451023, 0xaee2726c,
+0x3641021, 0x62182b, 0x14600004, 0x3c03ffff,
+0x8ee27264, 0x431021, 0xaee27264, 0x8ee304e8,
+0x96e20458, 0x24630001, 0x2442ffff, 0x621824,
+0xaee304e8, 0x8ee304e8, 0x8ee204e0, 0x14620005,
+0x0, 0x8f820060, 0x2403fff7, 0x431024,
+0xaf820060, 0x8fbf0018, 0x3e00008, 0x27bd0020,
+0x27bdffe0, 0xafbf001c, 0xafb00018, 0x8f820100,
+0x8ee34e2c, 0x8f820104, 0x8f850108, 0x24020040,
+0x24630001, 0x50620003, 0x1021, 0x8ee24e2c,
+0x24420001, 0xaee24e2c, 0x8ee24e2c, 0x8ee34e2c,
+0x210c0, 0x24424e38, 0x2e22021, 0x8ee24e28,
+0x8c870004, 0x14620007, 0xa03021, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8002aa6,
+0xac800000, 0x8ee24e2c, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e2c, 0x24420001,
+0x210c0, 0x24424e38, 0x2e22021, 0x8c820004,
+0x8f830108, 0x21140, 0x621821, 0xaf830108,
+0xac800000, 0x8cc20018, 0x2443fffe, 0x2c620013,
+0x104000c1, 0x31080, 0x3c010001, 0x220821,
+0x8c224f50, 0x400008, 0x0, 0x8ee204f0,
+0x471021, 0xaee204f0, 0x8ee204f0, 0x8f43023c,
+0x43102b, 0x144000be, 0x0, 0x8ee304e4,
+0x8ee204f8, 0x506200ba, 0xa2e004f4, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x8002b16,
+0x8ee201a4, 0x8ee204e4, 0xac62001c, 0x8ee404b0,
+0x8ee504b4, 0x2462001c, 0xac620008, 0x24020008,
+0xa462000e, 0x24020011, 0xac620018, 0xac640000,
+0xac650004, 0x8ee204c4, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400037, 0x24100001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020012, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x24030040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee54e30,
+0x24420001, 0x10430007, 0x0, 0x8ee24e34,
+0x24420001, 0x10a20005, 0x0, 0x8002b00,
+0x0, 0x14a00005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8002b16,
+0x0, 0x8ee24e30, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020012, 0xac820000, 0x24020001,
+0xac820004, 0x5600000b, 0x24100001, 0x8ee204e4,
+0x3c040001, 0x24844f34, 0xafa00014, 0xafa20010,
+0x8ee60608, 0x8f470228, 0x3c050009, 0xc002407,
+0x34a5f006, 0x16000003, 0x24020001, 0x8002b75,
+0xa2e204f4, 0x8ee20170, 0x24420001, 0xaee20170,
+0x8ee20170, 0x8ee204e4, 0xa2e004f4, 0xaee004f0,
+0xaee204f8, 0x8f42023c, 0x50400045, 0xaee07274,
+0x8ee20184, 0x24420001, 0xaee20184, 0x8ee20184,
+0x8002b75, 0xaee07274, 0x8ee20504, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee20504,
+0x24420001, 0xaee20504, 0x8ee20504, 0x8cc30018,
+0x21080, 0x571021, 0x8c440508, 0x24020003,
+0x1462000f, 0x0, 0x3c020001, 0x571021,
+0x904283b1, 0x10400014, 0x0, 0x8ee201d0,
+0x8ee35240, 0x441021, 0xaee201d0, 0x8ee201d8,
+0x641821, 0x306300ff, 0x8002b5d, 0xaee35240,
+0x8ee201cc, 0x8ee30e10, 0x441021, 0xaee201cc,
+0x8ee201d8, 0x641821, 0x306301ff, 0xaee30e10,
+0x441021, 0xaee201d8, 0x8ee20000, 0x34420040,
+0x8002b75, 0xaee20000, 0x8ee2014c, 0x3c010001,
+0x370821, 0xa02083e0, 0x24420001, 0xaee2014c,
+0x8002b75, 0x8ee2014c, 0x94c7000e, 0x8cc2001c,
+0x3c040001, 0x24844f40, 0xafa60014, 0xafa20010,
+0x8cc60018, 0x3c050008, 0xc002407, 0x34a50910,
+0x8fbf001c, 0x8fb00018, 0x3e00008, 0x27bd0020,
+0x27bdff98, 0xafbf0060, 0xafbe005c, 0xafb60058,
+0xafb50054, 0xafb40050, 0xafb3004c, 0xafb20048,
+0xafb10044, 0xafb00040, 0x8f830108, 0x8f820104,
+0xafa00024, 0x106203e7, 0xafa0002c, 0x3c1e0001,
+0x37de3800, 0x3c0bffff, 0x8f930108, 0x8e620018,
+0x8f830104, 0x2443fffe, 0x2c620014, 0x104003cf,
+0x31080, 0x3c010001, 0x220821, 0x8c224fa0,
+0x400008, 0x0, 0x9663000e, 0x8ee2725c,
+0x8ee404f0, 0x431021, 0xaee2725c, 0x8e63001c,
+0x96e20458, 0x24840001, 0xaee404f0, 0x24630001,
+0x2442ffff, 0x621824, 0xaee304e4, 0x8f42023c,
+0x82202b, 0x148003b9, 0x0, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8021, 0x24420001, 0xaee201a4, 0x8002c02,
+0x8ee201a4, 0x8ee204e4, 0xac62001c, 0x8ee404b0,
+0x8ee504b4, 0x2462001c, 0xac620008, 0x24020008,
+0xa462000e, 0x24020011, 0xac620018, 0xac640000,
+0xac650004, 0x8ee204c4, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400037, 0x24100001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020012, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x240c0040, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x104c0007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8002bec,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400013, 0xac800000, 0x8002c02,
+0x0, 0x8ee24e30, 0x240c0040, 0x24420001,
+0x504c0003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x24020012, 0x240c0001, 0xac820000,
+0xac8c0004, 0x5600000d, 0x24100001, 0x8ee204e4,
+0x3c040001, 0x24844f34, 0xafa00014, 0xafa20010,
+0x8ee60608, 0x8f470228, 0x3c050009, 0x34a5f006,
+0xc002407, 0xafab0038, 0x8fab0038, 0x1200030a,
+0x240c0001, 0x8002f1d, 0x0, 0x966c001c,
+0xafac002c, 0x9662001e, 0x3c0c8000, 0xafac0024,
+0xae62001c, 0x8e75001c, 0x8ee204fc, 0x8ee404fc,
+0x151900, 0x621021, 0x8c52000c, 0x92e27b98,
+0x641821, 0x9476000a, 0x14400003, 0x32c20002,
+0xaef27ba4, 0xaef57b9c, 0x1040004b, 0x8021,
+0x96e2045a, 0x30420002, 0x10400047, 0x0,
+0x8e63001c, 0x8ee204fc, 0x32100, 0x821021,
+0x8c42000c, 0x37e1821, 0x24420022, 0x43102b,
+0x1440000a, 0x24050014, 0x8ee204fc, 0x821021,
+0x8c44000c, 0xafab0038, 0xc002f79, 0x2484000e,
+0x8fab0038, 0x8002c56, 0x3050ffff, 0x8ee204fc,
+0x821021, 0x8c42000c, 0x9450000e, 0x94430010,
+0x94440012, 0x94450014, 0x2038021, 0x2048021,
+0x2058021, 0x94430016, 0x94440018, 0x9445001a,
+0x2038021, 0x2048021, 0x2058021, 0x9443001c,
+0x9444001e, 0x94420020, 0x2038021, 0x2048021,
+0x2028021, 0x101c02, 0x3202ffff, 0x628021,
+0x8e63001c, 0x8ee204fc, 0x102402, 0x32900,
+0xa21021, 0x8c43000c, 0x3202ffff, 0x828021,
+0x37e1021, 0x24630018, 0x62182b, 0x14600009,
+0x0, 0x8ee204fc, 0xa21021, 0x8c43000c,
+0x101027, 0x3c01ffff, 0x230821, 0x8002c73,
+0xa4220018, 0x8ee204fc, 0xa21021, 0x8c43000c,
+0x101027, 0xa4620018, 0x96e2045a, 0x8821,
+0x30420008, 0x14400063, 0xa021, 0x8e63001c,
+0x8ee204fc, 0x33100, 0xc21021, 0x8c42000c,
+0x37e1821, 0x24420022, 0x43102b, 0x14400035,
+0x0, 0x8ee204fc, 0xc21021, 0x8c42000c,
+0x24470010, 0x37e1021, 0xe2102b, 0x50400001,
+0xeb3821, 0x8ee204fc, 0x94f10000, 0xc21021,
+0x8c42000c, 0x24470016, 0x37e1021, 0xe2102b,
+0x14400002, 0x2634ffec, 0xeb3821, 0x8ee204fc,
+0x90e30001, 0xc21021, 0x8c42000c, 0x2447001a,
+0x37e1021, 0xe2102b, 0x14400002, 0x2838821,
+0xeb3821, 0x94e20000, 0x24e70002, 0x2228821,
+0x37e1021, 0xe2102b, 0x50400001, 0xeb3821,
+0x94e20000, 0x24e70002, 0x2228821, 0x37e1021,
+0xe2102b, 0x50400001, 0xeb3821, 0x94e20000,
+0x24e70002, 0x2228821, 0x37e1021, 0xe2102b,
+0x50400001, 0xeb3821, 0x94e20000, 0x8002cd4,
+0x2228821, 0x8ee204fc, 0xc21021, 0x8c43000c,
+0x8ee204fc, 0x94710010, 0x8ee304fc, 0xc21021,
+0x8c44000c, 0xc31821, 0x8c62000c, 0x2634ffec,
+0x90840017, 0x8ee304fc, 0x9442001a, 0x2848821,
+0xc31821, 0x8c65000c, 0x8ee304fc, 0x2228821,
+0x8ee204fc, 0xc31821, 0xc21021, 0x8c44000c,
+0x8c62000c, 0x94a3001c, 0x9484001e, 0x94420020,
+0x2238821, 0x2248821, 0x2228821, 0x111c02,
+0x3222ffff, 0x628821, 0x111c02, 0x3222ffff,
+0x628821, 0x32c20001, 0x104000b2, 0x0,
+0x96e2045a, 0x30420001, 0x104000ae, 0x32c20080,
+0x10400008, 0x0, 0x92e27b98, 0x14400005,
+0x0, 0x240c0001, 0xa2ec7b98, 0xaef57b9c,
+0xaef27ba4, 0x8ee304fc, 0x151100, 0x431021,
+0x8c47000c, 0x37e1821, 0x24e2000e, 0x43102b,
+0x14400008, 0xe02021, 0x2405000e, 0xc002f79,
+0xafab0038, 0x3042ffff, 0x8fab0038, 0x8002d0d,
+0x2028021, 0x94e60000, 0x24e70002, 0x94e50000,
+0x24e70002, 0x94e30000, 0x24e70002, 0x94e20000,
+0x24e70002, 0x94e40000, 0x24e70002, 0x2068021,
+0x2058021, 0x2038021, 0x2028021, 0x94e20000,
+0x94e30002, 0x2048021, 0x2028021, 0x2038021,
+0x101c02, 0x3202ffff, 0x628021, 0x101c02,
+0x3202ffff, 0x8ee47b9c, 0x628021, 0x14950004,
+0x3205ffff, 0x96620016, 0x8002d1b, 0x512021,
+0x96620016, 0x542021, 0x41402, 0x3083ffff,
+0x432021, 0x852023, 0x41402, 0x822021,
+0x3084ffff, 0x50800001, 0x3404ffff, 0x8ee27ba4,
+0x24430017, 0x37e1021, 0x62102b, 0x50400001,
+0x6b1821, 0x90630000, 0x24020011, 0x14620031,
+0x24020006, 0x8ee27ba4, 0x37e1821, 0x24420028,
+0x43102b, 0x14400018, 0x0, 0x8ee27b9c,
+0x12a2000a, 0x32c20100, 0x8ee27ba4, 0x3c01ffff,
+0x220821, 0x94220028, 0x822021, 0x41c02,
+0x3082ffff, 0x622021, 0x32c20100, 0x14400004,
+0x41027, 0x92e27b98, 0x14400002, 0x41027,
+0x3044ffff, 0x8ee27ba4, 0x3c01ffff, 0x220821,
+0x8002d8e, 0xa4240028, 0x8ee27b9c, 0x12a20008,
+0x32c20100, 0x8ee27ba4, 0x94420028, 0x822021,
+0x41c02, 0x3082ffff, 0x622021, 0x32c20100,
+0x14400004, 0x41027, 0x92e27b98, 0x14400002,
+0x41027, 0x3044ffff, 0x8ee27ba4, 0x8002d8e,
+0xa4440028, 0x1462002f, 0x37e1821, 0x8ee27ba4,
+0x24420032, 0x43102b, 0x14400018, 0x0,
+0x8ee27b9c, 0x12a2000a, 0x32c20100, 0x8ee27ba4,
+0x3c01ffff, 0x220821, 0x94220032, 0x822021,
+0x41c02, 0x3082ffff, 0x622021, 0x32c20100,
+0x14400004, 0x41027, 0x92e27b98, 0x14400002,
+0x41027, 0x3044ffff, 0x8ee27ba4, 0x3c01ffff,
+0x220821, 0x8002d8e, 0xa4240032, 0x8ee27b9c,
+0x12a20008, 0x32c20100, 0x8ee27ba4, 0x94420032,
+0x822021, 0x41c02, 0x3082ffff, 0x622021,
+0x32c20100, 0x14400004, 0x41027, 0x92e27b98,
+0x14400002, 0x41027, 0x3044ffff, 0x8ee27ba4,
+0xa4440032, 0x8fac0024, 0x1180002c, 0x37e1821,
+0x8e420000, 0xae42fffc, 0x2642000a, 0x43102b,
+0x1440001b, 0x34038100, 0x26430004, 0x37e1021,
+0x62102b, 0x14400003, 0x602021, 0x6b1821,
+0x602021, 0x8c620000, 0x24630004, 0xae420000,
+0x37e1021, 0x62102b, 0x50400001, 0x6b1821,
+0x8c620000, 0xac820000, 0x34028100, 0xa4620000,
+0x24630002, 0x37e1021, 0x62102b, 0x50400001,
+0x6b1821, 0x97ac002e, 0x8002db8, 0xa46c0000,
+0x8e420004, 0x8e440008, 0xa6430008, 0x97ac002e,
+0xa64c000a, 0xae420000, 0xae440004, 0x9662000e,
+0x2652fffc, 0x24420004, 0xa662000e, 0x9662000e,
+0x8ee3725c, 0x621821, 0xaee3725c, 0xafb20018,
+0x8ee3725c, 0xafa3001c, 0x8ee2725c, 0x2c42003c,
+0x10400004, 0x24620001, 0x2403fffe, 0x431024,
+0xafa2001c, 0x32c20080, 0x1040000c, 0x32c20100,
+0x8ee27ba8, 0x24430001, 0x210c0, 0x571021,
+0xaee37ba8, 0x8fa30018, 0x8fa4001c, 0xac437bac,
+0xac447bb0, 0x8002ea4, 0xaee0725c, 0x10400072,
+0x0, 0x8ee27ba8, 0x24430001, 0x210c0,
+0x571021, 0xaee37ba8, 0x8fa30018, 0x8fa4001c,
+0xac437bac, 0xac447bb0, 0x8ee27ba8, 0x10400063,
+0x4821, 0x5021, 0x8f8200f0, 0x24480008,
+0x27621800, 0x102102b, 0x50400001, 0x27681000,
+0x8f8200f4, 0x15020007, 0x0, 0x8ee201b4,
+0x8021, 0x24420001, 0xaee201b4, 0x8002dfe,
+0x8ee201b4, 0x8f8300f0, 0x24100001, 0x1571021,
+0x8c447bac, 0x8c457bb0, 0xac640000, 0xac650004,
+0xaf8800f0, 0x16000006, 0x2ea1021, 0x8ee20088,
+0x24420001, 0xaee20088, 0x8002e43, 0x8ee20088,
+0x8c427bb0, 0x8ee400e0, 0x8ee500e4, 0x8ee67b9c,
+0x401821, 0x1021, 0xa32821, 0xa3382b,
+0x822021, 0x872021, 0x8ee204fc, 0xc93021,
+0x63100, 0xaee400e0, 0xaee500e4, 0xc23021,
+0x94c2000a, 0x240c0002, 0x21142, 0x30430003,
+0x106c0016, 0x28620003, 0x10400005, 0x240c0001,
+0x106c0008, 0x0, 0x8002e43, 0x0,
+0x240c0003, 0x106c0017, 0x0, 0x8002e43,
+0x0, 0x8ee200e8, 0x8ee300ec, 0x24630001,
+0x2c640001, 0x441021, 0xaee200e8, 0xaee300ec,
+0x8ee200e8, 0x8002e43, 0x8ee300ec, 0x8ee200f0,
+0x8ee300f4, 0x24630001, 0x2c640001, 0x441021,
+0xaee200f0, 0xaee300f4, 0x8ee200f0, 0x8002e43,
+0x8ee300f4, 0x8ee200f8, 0x8ee300fc, 0x24630001,
+0x2c640001, 0x441021, 0xaee200f8, 0xaee300fc,
+0x8ee200f8, 0x8ee300fc, 0x8ee27ba8, 0x25290001,
+0x122102b, 0x1440ffa0, 0x254a0008, 0xa2e07b98,
+0x8002ea3, 0xaee07ba8, 0x8f8200f0, 0x24470008,
+0x27621800, 0xe2102b, 0x50400001, 0x27671000,
+0x8f8200f4, 0x14e20007, 0x0, 0x8ee201b4,
+0x8021, 0x24420001, 0xaee201b4, 0x8002e61,
+0x8ee201b4, 0x8f8200f0, 0x24100001, 0x8fa30018,
+0x8fa4001c, 0xac430000, 0xac440004, 0xaf8700f0,
+0x16000007, 0x0, 0x8ee20088, 0x24420001,
+0xaee20088, 0x8ee20088, 0x8002ea4, 0xaee0725c,
+0x8ee2725c, 0x8ee400e0, 0x8ee500e4, 0x240c0002,
+0x401821, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0x161142, 0x30430003,
+0xaee400e0, 0xaee500e4, 0x106c0017, 0x2c620003,
+0x10400005, 0x240c0001, 0x106c0008, 0x0,
+0x8002ea4, 0xaee0725c, 0x240c0003, 0x106c0019,
+0x0, 0x8002ea4, 0xaee0725c, 0x8ee200e8,
+0x8ee300ec, 0x24630001, 0x2c640001, 0x441021,
+0xaee200e8, 0xaee300ec, 0x8ee200e8, 0x8ee300ec,
+0x8002ea4, 0xaee0725c, 0x8ee200f0, 0x8ee300f4,
+0x24630001, 0x2c640001, 0x441021, 0xaee200f0,
+0xaee300f4, 0x8ee200f0, 0x8ee300f4, 0x8002ea4,
+0xaee0725c, 0x8ee200f8, 0x8ee300fc, 0x24630001,
+0x2c640001, 0x441021, 0xaee200f8, 0xaee300fc,
+0x8ee200f8, 0x8ee300fc, 0xaee0725c, 0x8e62001c,
+0x96e30458, 0x8ee404f0, 0x24420001, 0x2463ffff,
+0x431024, 0x24840001, 0xaee204e4, 0xaee404f0,
+0x8f42023c, 0x82202b, 0x148000b0, 0x0,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8021, 0x24420001, 0xaee201a4,
+0x8002f0b, 0x8ee201a4, 0x8ee204e4, 0xac62001c,
+0x8ee404b0, 0x8ee504b4, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400037, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020012, 0x1462001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x240c0040,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x104c0007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x8002ef5, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x8002f0b, 0x0, 0x8ee24e30, 0x240c0040,
+0x24420001, 0x504c0003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020012, 0x240c0001,
+0xac820000, 0xac8c0004, 0x5600000d, 0x24100001,
+0x8ee204e4, 0x3c040001, 0x24844f34, 0xafa00014,
+0xafa20010, 0x8ee60608, 0x8f470228, 0x3c050009,
+0x34a5f006, 0xc002407, 0xafab0038, 0x8fab0038,
+0x16000003, 0x240c0001, 0x8002f60, 0xa2ec04f4,
+0x8ee20170, 0x24420001, 0xaee20170, 0x8ee20170,
+0x8ee204e4, 0xa2e004f4, 0xaee004f0, 0xaee07274,
+0xaee204f8, 0x8f42023c, 0x10400038, 0x0,
+0x8ee20184, 0x24420001, 0xaee20184, 0x8002f60,
+0x8ee20184, 0x8ee20504, 0x240c0040, 0x24420001,
+0x504c0003, 0x1021, 0x8ee20504, 0x24420001,
+0xaee20504, 0x8ee20504, 0x8e630018, 0x240c0003,
+0x21080, 0x571021, 0x146c000f, 0x8c440508,
+0x3c020001, 0x571021, 0x904283b1, 0x10400014,
+0x0, 0x8ee201d0, 0x8ee35240, 0x441021,
+0xaee201d0, 0x8ee201d8, 0x641821, 0x306300ff,
+0x8002f53, 0xaee35240, 0x8ee201cc, 0x8ee30e10,
+0x441021, 0xaee201cc, 0x8ee201d8, 0x641821,
+0x306301ff, 0xaee30e10, 0x441021, 0xaee201d8,
+0x8ee20000, 0x34420040, 0x8002f60, 0xaee20000,
+0x8ee2014c, 0x3c010001, 0x370821, 0xa02083e0,
+0x24420001, 0xaee2014c, 0x8ee2014c, 0x8f820108,
+0x24420020, 0xaf820108, 0x8f820108, 0x8f820108,
+0x27633000, 0x43102b, 0x14400002, 0x27622800,
+0xaf820108, 0x8f830108, 0x8f820104, 0x1462fc1e,
+0x0, 0x8fbf0060, 0x8fbe005c, 0x8fb60058,
+0x8fb50054, 0x8fb40050, 0x8fb3004c, 0x8fb20048,
+0x8fb10044, 0x8fb00040, 0x3e00008, 0x27bd0068,
+0x52843, 0x10a0000d, 0x3021, 0x3c030001,
+0x34633800, 0x3c07ffff, 0x3631021, 0x82102b,
+0x50400001, 0x872021, 0x94820000, 0x24840002,
+0x24a5ffff, 0x14a0fff8, 0xc23021, 0x61c02,
+0x30c2ffff, 0x623021, 0x61c02, 0x30c2ffff,
+0x623021, 0x3e00008, 0x30c2ffff, 0x27bdff88,
+0x240f0001, 0xafbf0070, 0xafbe006c, 0xafb60068,
+0xafb50064, 0xafb40060, 0xafb3005c, 0xafb20058,
+0xafb10054, 0xafb00050, 0xa3a00027, 0xafaf002c,
+0x8ee204d4, 0x8021, 0x30420001, 0x1440002a,
+0xa3a00037, 0x8f8700e0, 0x8f8800c4, 0x8f8200e8,
+0xe22023, 0x2c821000, 0x50400001, 0x24841000,
+0x420c2, 0x801821, 0x8ee400c8, 0x8ee500cc,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c8, 0xaee500cc, 0x8f8300c8,
+0x3c02000a, 0x3442efff, 0x1032023, 0x44102b,
+0x10400003, 0x3c02000a, 0x3442f000, 0x822021,
+0x801821, 0x8ee400c0, 0x8ee500c4, 0x1021,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xaee400c0, 0xaee500c4, 0xaf8800c8, 0xaf8700e4,
+0x80034d0, 0xaf8700e8, 0x3c020001, 0x571021,
+0x904283c0, 0x1040000b, 0x0, 0x3c140001,
+0x297a021, 0x8e9483c4, 0x3c130001, 0x2779821,
+0x8e7383c8, 0x3c120001, 0x2579021, 0x8003197,
+0x8e5283cc, 0x8f8300e0, 0x8f8200e4, 0x10430007,
+0x8821, 0x8f8200e4, 0x24110001, 0x8c430000,
+0x8c440004, 0xafa30018, 0xafa4001c, 0x1620000e,
+0x3c02ffff, 0x8f8200c4, 0xafa20010, 0x8f8200c8,
+0x3c040001, 0x24845050, 0xafa20014, 0x8f8600e0,
+0x8f8700e4, 0x3c050006, 0xc002407, 0x34a5f000,
+0x80034d0, 0x0, 0x8fa3001c, 0x8fb20018,
+0x3074ffff, 0x2694fffc, 0x621024, 0x10400058,
+0x2409821, 0x3c020080, 0x621024, 0x1040000a,
+0x3c040040, 0x8ee2007c, 0x24420001, 0xaee2007c,
+0x8ee2007c, 0x8ee201fc, 0x24420001, 0xaee201fc,
+0x80034ca, 0x8ee201fc, 0x3c060004, 0x3c0b0001,
+0x3c0a0002, 0x3c050010, 0x3c090008, 0x8ee20080,
+0x3c080020, 0x34078000, 0x24420001, 0xaee20080,
+0x8ee20080, 0x8fa2001c, 0x441824, 0x10660021,
+0xc3102b, 0x14400007, 0x0, 0x106b0011,
+0x0, 0x106a0015, 0x0, 0x800304d,
+0x42042, 0x10650023, 0xa3102b, 0x14400005,
+0x0, 0x10690019, 0x0, 0x800304d,
+0x42042, 0x10680021, 0x0, 0x800304d,
+0x42042, 0x8ee20034, 0x24420001, 0xaee20034,
+0x8ee20034, 0x800304d, 0x42042, 0x8ee201ec,
+0x24420001, 0xaee201ec, 0x8ee201ec, 0x800304d,
+0x42042, 0x8ee201f0, 0x24420001, 0xaee201f0,
+0x8ee201f0, 0x800304d, 0x42042, 0x8ee201f4,
+0x24420001, 0xaee201f4, 0x8ee201f4, 0x800304d,
+0x42042, 0x8ee20030, 0x24420001, 0xaee20030,
+0x8ee20030, 0x800304d, 0x42042, 0x8ee201f8,
+0x24420001, 0xaee201f8, 0x8ee201f8, 0x42042,
+0x1087047c, 0x0, 0x8003012, 0x0,
+0x3c020001, 0x571021, 0x904283b2, 0x14400084,
+0x24020001, 0x3c030001, 0x771821, 0x906383b3,
+0x1462007f, 0x3c020100, 0x8e430000, 0x621024,
+0x1040006f, 0x2402ffff, 0x14620005, 0x24100001,
+0x96430004, 0x3402ffff, 0x10620075, 0x0,
+0x92e204d8, 0x14400072, 0x0, 0x3c020001,
+0x571021, 0x8c4283b4, 0x28420005, 0x10400020,
+0x3821, 0x3c020001, 0x571021, 0x8c4283b4,
+0x18400016, 0x2821, 0x96660000, 0x520c0,
+0x971021, 0x9442777e, 0x14460009, 0x971021,
+0x94437780, 0x96620002, 0x14620005, 0x971021,
+0x94437782, 0x96620004, 0x50620008, 0x24070001,
+0x3c020001, 0x571021, 0x8c4283b4, 0x24a50001,
+0xa2102a, 0x5440ffee, 0x520c0, 0x30e200ff,
+0x10400440, 0x0, 0x80030d9, 0x0,
+0x2402021, 0xc0022fe, 0x24050006, 0x3044001f,
+0x428c0, 0x2e51021, 0x9442727c, 0x30424000,
+0x14400434, 0xb71021, 0x9443727e, 0x96620000,
+0x1462000b, 0x418c0, 0xb71021, 0x94437280,
+0x96620002, 0x14620006, 0x418c0, 0xb71021,
+0x94437282, 0x96620004, 0x10620035, 0x418c0,
+0x2e31021, 0x9442727c, 0x30428000, 0x14400421,
+0x2e31021, 0x944b727c, 0x96670000, 0xb28c0,
+0xb71021, 0x9442737e, 0x80030bb, 0x3021,
+0x420c0, 0x2e41021, 0x9443737c, 0x2e41021,
+0x944b737c, 0x30638000, 0x14600010, 0xb28c0,
+0xb71021, 0x9442737e, 0x1447fff5, 0x1602021,
+0xb71021, 0x94437380, 0x96620002, 0x5462fff1,
+0x420c0, 0xb71021, 0x94437382, 0x96620004,
+0x5462ffec, 0x420c0, 0x24060001, 0x30c200ff,
+0x10400400, 0x0, 0x80030d9, 0x0,
+0x97430202, 0x96420000, 0x146203fa, 0x0,
+0x97430204, 0x96420002, 0x146203f6, 0x0,
+0x97430206, 0x96420004, 0x146203f2, 0x0,
+0x92420000, 0x3a030001, 0x30420001, 0x431024,
+0x10400074, 0x2402ffff, 0x8e630000, 0x14620004,
+0x3402ffff, 0x96630004, 0x1062006f, 0x240f0002,
+0x3c020001, 0x571021, 0x904283b2, 0x1440006a,
+0x240f0003, 0x92e204d8, 0x54400068, 0xafaf002c,
+0x3c020001, 0x571021, 0x8c4283b4, 0x28420005,
+0x10400020, 0x3821, 0x3c020001, 0x571021,
+0x8c4283b4, 0x18400016, 0x2821, 0x96660000,
+0x520c0, 0x971021, 0x9442777e, 0x14460009,
+0x971021, 0x94437780, 0x96620002, 0x14620005,
+0x971021, 0x94437782, 0x96620004, 0x50620008,
+0x24070001, 0x3c020001, 0x571021, 0x8c4283b4,
+0x24a50001, 0xa2102a, 0x5440ffee, 0x520c0,
+0x30e200ff, 0x14400044, 0x240f0003, 0x80034ca,
+0x0, 0x2402021, 0xc0022fe, 0x24050006,
+0x3044001f, 0x428c0, 0x2e51021, 0x9442727c,
+0x30424000, 0x144003af, 0xb71021, 0x9443727e,
+0x96620000, 0x1462000b, 0x418c0, 0xb71021,
+0x94437280, 0x96620002, 0x14620006, 0x418c0,
+0xb71021, 0x94437282, 0x96620004, 0x10620027,
+0x418c0, 0x2e31021, 0x9442727c, 0x30428000,
+0x1440039c, 0x2e31021, 0x944b727c, 0x96670000,
+0xb28c0, 0xb71021, 0x9442737e, 0x8003140,
+0x3021, 0x420c0, 0x2e41021, 0x9443737c,
+0x2e41021, 0x944b737c, 0x30638000, 0x14600010,
+0xb28c0, 0xb71021, 0x9442737e, 0x1447fff5,
+0x1602021, 0xb71021, 0x94437380, 0x96620002,
+0x5462fff1, 0x420c0, 0xb71021, 0x94437382,
+0x96620004, 0x5462ffec, 0x420c0, 0x24060001,
+0x30c200ff, 0x1040037b, 0x0, 0x8003153,
+0x240f0003, 0x240f0001, 0xafaf002c, 0x8f420260,
+0x54102b, 0x1040003a, 0x0, 0x8f8300e4,
+0x8f8200e0, 0x10620003, 0x24630008, 0xaf8300e4,
+0xaf8300e8, 0x8ee400c0, 0x8ee500c4, 0x2801821,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0x8ee20058,
+0x24420001, 0xaee20058, 0x8ee20058, 0x8ee2007c,
+0x24420001, 0xaee2007c, 0x8ee2007c, 0x8f8200e0,
+0xafa20010, 0x8f8200e4, 0x3c040001, 0x24845058,
+0xafa20014, 0x8fa60018, 0x8fa7001c, 0x3c050006,
+0xc002407, 0x34a5f003, 0x80034d0, 0x0,
+0x8ee25240, 0xafa20010, 0x8ee25244, 0x3c040001,
+0x24845064, 0xafa20014, 0x8ee60e10, 0x8ee70e18,
+0x3c050006, 0xc002407, 0x34a5f002, 0x8ee201c0,
+0x24420001, 0xaee201c0, 0x8ee20000, 0x8ee301c0,
+0x2403ffbf, 0x431024, 0x8003474, 0xaee20000,
+0x96e20468, 0x54102b, 0x10400003, 0x0,
+0x240f0001, 0xa3af0027, 0x12800301, 0x24160007,
+0x24150040, 0x241e0001, 0x240e0012, 0x8ee2724c,
+0x8f430280, 0x24420001, 0x304207ff, 0x106202d3,
+0x0, 0x93a20027, 0x10400014, 0x0,
+0x8ee35240, 0x8ee25244, 0x10620009, 0x26ed5244,
+0x8ee65244, 0x8ee35244, 0x21140, 0x24425248,
+0x2e28021, 0x24630001, 0x80031c3, 0x306b00ff,
+0x92e27248, 0x1440ffca, 0x0, 0x8ee201e0,
+0x24420001, 0xaee201e0, 0x8ee201e0, 0x8ee30e10,
+0x8ee20e18, 0x1062ffc2, 0x26ed0e18, 0x8ee60e18,
+0x8ee30e18, 0x21140, 0x24420e20, 0x2e28021,
+0x24630001, 0x306b01ff, 0x96e2046a, 0x30420010,
+0x10400019, 0x0, 0x9642000c, 0x340f8100,
+0x144f0015, 0x0, 0x3c020001, 0x571021,
+0x904283c0, 0x14400010, 0x0, 0x9642000e,
+0xa6020016, 0x8e420008, 0x8e430004, 0x8e440000,
+0x2694fffc, 0xae42000c, 0xae430008, 0xae440004,
+0x9602000e, 0x26730004, 0x240f0001, 0xa3af0037,
+0x34420200, 0xa602000e, 0x8e020000, 0x8e030004,
+0x3c040001, 0x34843800, 0x306a0007, 0x26a9823,
+0x3641021, 0x262102b, 0x10400005, 0x28aa021,
+0x2641023, 0x3621823, 0x3c020020, 0x439823,
+0x26820007, 0x2404fff8, 0x9603000a, 0x446024,
+0x6a1821, 0x6c102b, 0x10400002, 0x1803821,
+0x603821, 0xae130018, 0x8f880120, 0x24e20007,
+0x443824, 0x27623800, 0x25090020, 0x122102b,
+0x50400001, 0x27693000, 0x8f820128, 0x11220004,
+0x0, 0x8f820124, 0x15220007, 0x1401821,
+0x8ee201a4, 0x8821, 0x24420001, 0xaee201a4,
+0x8003250, 0x8ee201a4, 0x8e040000, 0x8e050004,
+0x1021, 0xad130008, 0xa507000e, 0xad160018,
+0xad06001c, 0xa3302b, 0xa32823, 0x822023,
+0x862023, 0xad040000, 0xad050004, 0x8ee204c0,
+0xad020010, 0xaf890120, 0x92e24e20, 0x14400033,
+0x24110001, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c820000, 0x1456001f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062001b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x10550007, 0x0,
+0x8ee24e34, 0x24420001, 0x10620005, 0x0,
+0x800323d, 0x0, 0x14600005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400010, 0xac800000,
+0x8003250, 0x0, 0x8ee24e30, 0x24420001,
+0x50550003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0xac960000, 0xac9e0004, 0x16200018,
+0x3c050006, 0x8e020018, 0x3c040001, 0x24845070,
+0xafa20010, 0x8e020000, 0x8e030004, 0x34a5f009,
+0x2003021, 0xc002407, 0xafa30014, 0x93a20037,
+0x10400216, 0x340f8100, 0x8e420004, 0x8e430008,
+0x8e44000c, 0xa64f000c, 0xae420000, 0xae430004,
+0xae440008, 0x96020016, 0x8003474, 0xa642000e,
+0x14ec0168, 0x28a1823, 0x960c000a, 0x9603000e,
+0x28a1023, 0xa602000a, 0x34620004, 0xa602000e,
+0x8f880120, 0x27623800, 0x25090020, 0x122102b,
+0x14400002, 0x306affff, 0x27693000, 0x8f820128,
+0x11220004, 0x0, 0x8f820124, 0x15220007,
+0x24040020, 0x8ee201a4, 0x8821, 0x24420001,
+0xaee201a4, 0x80032ce, 0x8ee201a4, 0x8ee5724c,
+0x8ee60490, 0x8ee70494, 0xa504000e, 0x24040004,
+0xad100008, 0xad040018, 0x52940, 0xa01821,
+0x1021, 0xe33821, 0xe3202b, 0xc23021,
+0xc43021, 0xad060000, 0xad070004, 0x8ee2724c,
+0xad02001c, 0x8ee204c4, 0xad020010, 0xaf890120,
+0x92e24e20, 0x14400033, 0x24110001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c820000,
+0x1456001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x0, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee34e30, 0x24420001,
+0x10550007, 0x0, 0x8ee24e34, 0x24420001,
+0x10620005, 0x0, 0x80032bb, 0x0,
+0x14600005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400010, 0xac800000, 0x80032ce, 0x0,
+0x8ee24e30, 0x24420001, 0x50550003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0xac960000,
+0xac9e0004, 0x1620000d, 0x0, 0xa60c000a,
+0xa60a000e, 0x8f820100, 0xafa20010, 0x8f820104,
+0x3c040001, 0x2484507c, 0x3c050006, 0xafa20014,
+0x8ee6724c, 0x800343f, 0x34a5f00b, 0x3c010001,
+0x370821, 0xa02083c0, 0xadab0000, 0x8ee201d8,
+0x8ee3724c, 0x2442ffff, 0xaee201d8, 0x8ee201d8,
+0x24630001, 0x306307ff, 0x26e25244, 0x15a20006,
+0xaee3724c, 0x8ee201d0, 0x2442ffff, 0xaee201d0,
+0x80032f3, 0x8ee201d0, 0x8ee201cc, 0x2442ffff,
+0xaee201cc, 0x8ee201cc, 0x8f420240, 0x10400073,
+0x0, 0x8ee20e1c, 0x24420001, 0xaee20e1c,
+0x8f430240, 0x43102b, 0x14400176, 0xa021,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8821, 0x24420001, 0xaee201a4,
+0x8003353, 0x8ee201a4, 0x8ee2724c, 0xac62001c,
+0x8ee404a8, 0x8ee504ac, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400033, 0x24110001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x144e001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10550007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8003340,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x8003353,
+0x0, 0x8ee24e30, 0x24420001, 0x50550003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac8e0000, 0xac9e0004, 0x5620000d, 0x24110001,
+0x8ee2724c, 0x3c040001, 0x24845088, 0xafa00014,
+0xafa20010, 0x8ee6724c, 0x8f470280, 0x3c050009,
+0x34a5f008, 0xc002407, 0xafae0048, 0x8fae0048,
+0x56200001, 0xaee00e1c, 0x8ee20188, 0x24420001,
+0xaee20188, 0x80033cc, 0x8ee20188, 0x8f830120,
+0x27623800, 0x24660020, 0xc2102b, 0x50400001,
+0x27663000, 0x8f820128, 0x10c20004, 0x0,
+0x8f820124, 0x14c20007, 0x0, 0x8ee201a4,
+0x8821, 0x24420001, 0xaee201a4, 0x80033be,
+0x8ee201a4, 0x8ee2724c, 0xac62001c, 0x8ee404a8,
+0x8ee504ac, 0x2462001c, 0xac620008, 0x24020008,
+0xa462000e, 0x24020011, 0xac620018, 0xac640000,
+0xac650004, 0x8ee204c4, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400033, 0x24110001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c820000,
+0x144e001f, 0x0, 0x8ee34e30, 0x8ee24e34,
+0x1062001b, 0x0, 0x8c820004, 0x24420001,
+0xac820004, 0x8ee24e34, 0x8ee34e30, 0x24420001,
+0x10550007, 0x0, 0x8ee24e34, 0x24420001,
+0x10620005, 0x0, 0x80033ab, 0x0,
+0x14600005, 0x0, 0x8f820128, 0x24420020,
+0xaf820128, 0x8f820128, 0x8c820004, 0x2c420011,
+0x50400010, 0xac800000, 0x80033be, 0x0,
+0x8ee24e30, 0x24420001, 0x50550003, 0x1021,
+0x8ee24e30, 0x24420001, 0xaee24e30, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0xac8e0000,
+0xac9e0004, 0x1620000d, 0x0, 0x8ee2724c,
+0x3c040001, 0x24845088, 0xafa00014, 0xafa20010,
+0x8ee6724c, 0x8f470280, 0x3c050009, 0x34a5f008,
+0xc002407, 0xafae0048, 0x8fae0048, 0x8ee20174,
+0x24420001, 0xaee20174, 0x8ee20174, 0x8003472,
+0xa021, 0x960c000a, 0x183102b, 0x54400001,
+0x1801821, 0xa603000a, 0x8f880120, 0x27623800,
+0x25090020, 0x122102b, 0x50400001, 0x27693000,
+0x8f820128, 0x11220004, 0x0, 0x8f820124,
+0x15220007, 0x24040020, 0x8ee201a4, 0x8821,
+0x24420001, 0xaee201a4, 0x8003433, 0x8ee201a4,
+0x8ee5724c, 0x8ee60490, 0x8ee70494, 0xa504000e,
+0x24040004, 0xad100008, 0xad040018, 0x52940,
+0xa01821, 0x1021, 0xe33821, 0xe3202b,
+0xc23021, 0xc43021, 0xad060000, 0xad070004,
+0x8ee2724c, 0xad02001c, 0x8ee204c4, 0xad020010,
+0xaf890120, 0x92e24e20, 0x14400033, 0x24110001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x1456001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10550007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8003420,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400010, 0xac800000, 0x8003433,
+0x0, 0x8ee24e30, 0x24420001, 0x50550003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0xac960000, 0xac9e0004, 0x1620001d, 0x0,
+0xa60c000a, 0x8f820100, 0xafa20010, 0x8f820104,
+0x3c040001, 0x2484507c, 0x3c050006, 0xafa20014,
+0x8ee6724c, 0x34a5f00d, 0xc002407, 0x2003821,
+0x93a20037, 0x10400031, 0x340f8100, 0x8e420004,
+0x8e430008, 0x8e44000c, 0xa64f000c, 0xae420000,
+0xae430004, 0xae440008, 0x96020016, 0xa642000e,
+0x9602000e, 0x3042fdff, 0x8003474, 0xa602000e,
+0x8ee201d8, 0x2442ffff, 0xaee201d8, 0x8ee201d8,
+0x8ee201cc, 0x3c04001f, 0x3c010001, 0x370821,
+0xa03e83c0, 0x2442ffff, 0xaee201cc, 0x9603000a,
+0x3484ffff, 0x8ee201cc, 0x6a1821, 0x2639821,
+0x93202b, 0x10800003, 0x3c02fff5, 0x34421000,
+0x2629821, 0xadab0000, 0x8ee2724c, 0x24420001,
+0x304207ff, 0xaee2724c, 0x8f420240, 0x10400004,
+0x283a023, 0x8ee20e1c, 0x24420001, 0xaee20e1c,
+0xa3a00027, 0x1680fd29, 0x0, 0x12800024,
+0x0, 0x3c010001, 0x370821, 0xac3483c4,
+0x3c010001, 0x370821, 0xac3383c8, 0x3c010001,
+0x370821, 0xac3283cc, 0x93a20037, 0x10400008,
+0x0, 0x3c020001, 0x571021, 0x8c4283cc,
+0x24420004, 0x3c010001, 0x370821, 0xac2283cc,
+0x8ee2724c, 0x8f430280, 0x24420001, 0x304207ff,
+0x14620006, 0x0, 0x8ee201c4, 0x24420001,
+0xaee201c4, 0x80034d0, 0x8ee201c4, 0x8ee201bc,
+0x24420001, 0xaee201bc, 0x80034d0, 0x8ee201bc,
+0x97a4001e, 0x2484fffc, 0x801821, 0x8ee400c0,
+0x8ee500c4, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xaee400c0, 0xaee500c4,
+0x8faf002c, 0x24020002, 0x11e2000f, 0x29e20003,
+0x14400017, 0x24020003, 0x15e20015, 0x0,
+0x8ee200d0, 0x8ee300d4, 0x24630001, 0x2c640001,
+0x441021, 0xaee200d0, 0xaee300d4, 0x8ee200d0,
+0x80034ca, 0x8ee300d4, 0x8ee200d8, 0x8ee300dc,
+0x24630001, 0x2c640001, 0x441021, 0xaee200d8,
+0xaee300dc, 0x8ee200d8, 0x80034ca, 0x8ee300dc,
+0x8ee200c8, 0x8ee300cc, 0x24630001, 0x2c640001,
+0x441021, 0xaee200c8, 0xaee300cc, 0x8ee200c8,
+0x8ee300cc, 0x8f8300e4, 0x8f8200e0, 0x10620003,
+0x24630008, 0xaf8300e4, 0xaf8300e8, 0x8fbf0070,
+0x8fbe006c, 0x8fb60068, 0x8fb50064, 0x8fb40060,
+0x8fb3005c, 0x8fb20058, 0x8fb10054, 0x8fb00050,
+0x3e00008, 0x27bd0078, 0x27bdffb0, 0xafb50044,
+0xa821, 0xafb00030, 0x8021, 0xafbf004c,
+0xafb60048, 0xafb40040, 0xafb3003c, 0xafb20038,
+0xafb10034, 0x8ee204d4, 0x24140001, 0x30420001,
+0x1440002a, 0xb021, 0x8f8700e0, 0x8f8800c4,
+0x8f8200e8, 0xe22023, 0x2c821000, 0x50400001,
+0x24841000, 0x420c2, 0x801821, 0x8ee400c8,
+0x8ee500cc, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xaee400c8, 0xaee500cc,
+0x8f8300c8, 0x3c02000a, 0x3442efff, 0x1032023,
+0x44102b, 0x10400003, 0x3c02000a, 0x3442f000,
+0x822021, 0x801821, 0x8ee400c0, 0x8ee500c4,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0xaf8800c8,
+0xaf8700e4, 0x8003854, 0xaf8700e8, 0x3c020001,
+0x571021, 0x904283c0, 0x1040000b, 0x0,
+0x3c130001, 0x2779821, 0x8e7383c4, 0x3c110001,
+0x2378821, 0x8e3183c8, 0x3c120001, 0x2579021,
+0x80036ec, 0x8e5283cc, 0x8f8300e0, 0x8f8200e4,
+0x10430007, 0x4821, 0x8f8200e4, 0x24090001,
+0x8c430000, 0x8c440004, 0xafa30018, 0xafa4001c,
+0x1520000e, 0x3c02ffff, 0x8f8200c4, 0xafa20010,
+0x8f8200c8, 0x3c040001, 0x24845050, 0xafa20014,
+0x8f8600e0, 0x8f8700e4, 0x3c050006, 0xc002407,
+0x34a5f000, 0x8003854, 0x0, 0x8fa3001c,
+0x8fb20018, 0x3073ffff, 0x2673fffc, 0x621024,
+0x10400058, 0x2408821, 0x3c020080, 0x621024,
+0x1040000a, 0x3c040040, 0x8ee2007c, 0x24420001,
+0xaee2007c, 0x8ee2007c, 0x8ee201fc, 0x24420001,
+0xaee201fc, 0x800384e, 0x8ee201fc, 0x3c060004,
+0x3c0b0001, 0x3c0a0002, 0x3c050010, 0x3c090008,
+0x8ee20080, 0x3c080020, 0x34078000, 0x24420001,
+0xaee20080, 0x8ee20080, 0x8fa2001c, 0x441824,
+0x10660021, 0xc3102b, 0x14400007, 0x0,
+0x106b0011, 0x0, 0x106a0015, 0x0,
+0x8003596, 0x42042, 0x10650023, 0xa3102b,
+0x14400005, 0x0, 0x10690019, 0x0,
+0x8003596, 0x42042, 0x10680021, 0x0,
+0x8003596, 0x42042, 0x8ee20034, 0x24420001,
+0xaee20034, 0x8ee20034, 0x8003596, 0x42042,
+0x8ee201ec, 0x24420001, 0xaee201ec, 0x8ee201ec,
+0x8003596, 0x42042, 0x8ee201f0, 0x24420001,
+0xaee201f0, 0x8ee201f0, 0x8003596, 0x42042,
+0x8ee201f4, 0x24420001, 0xaee201f4, 0x8ee201f4,
+0x8003596, 0x42042, 0x8ee20030, 0x24420001,
+0xaee20030, 0x8ee20030, 0x8003596, 0x42042,
+0x8ee201f8, 0x24420001, 0xaee201f8, 0x8ee201f8,
+0x42042, 0x108702b7, 0x0, 0x800355b,
+0x0, 0x3c020001, 0x571021, 0x904283b2,
+0x14400084, 0x24020001, 0x3c030001, 0x771821,
+0x906383b3, 0x1462007f, 0x3c020100, 0x8e430000,
+0x621024, 0x1040006f, 0x2402ffff, 0x14620005,
+0x24100001, 0x96430004, 0x3402ffff, 0x10620075,
+0x0, 0x92e204d8, 0x14400072, 0x0,
+0x3c020001, 0x571021, 0x8c4283b4, 0x28420005,
+0x10400020, 0x3821, 0x3c020001, 0x571021,
+0x8c4283b4, 0x18400016, 0x2821, 0x96260000,
+0x520c0, 0x971021, 0x9442777e, 0x14460009,
+0x971021, 0x94437780, 0x96220002, 0x14620005,
+0x971021, 0x94437782, 0x96220004, 0x50620008,
+0x24070001, 0x3c020001, 0x571021, 0x8c4283b4,
+0x24a50001, 0xa2102a, 0x5440ffee, 0x520c0,
+0x30e200ff, 0x1040027b, 0x0, 0x8003622,
+0x0, 0x2402021, 0xc0022fe, 0x24050006,
+0x3044001f, 0x428c0, 0x2e51021, 0x9442727c,
+0x30424000, 0x1440026f, 0xb71021, 0x9443727e,
+0x96220000, 0x1462000b, 0x418c0, 0xb71021,
+0x94437280, 0x96220002, 0x14620006, 0x418c0,
+0xb71021, 0x94437282, 0x96220004, 0x10620035,
+0x418c0, 0x2e31021, 0x9442727c, 0x30428000,
+0x1440025c, 0x2e31021, 0x9448727c, 0x96270000,
+0x828c0, 0xb71021, 0x9442737e, 0x8003604,
+0x3021, 0x420c0, 0x2e41021, 0x9443737c,
+0x2e41021, 0x9448737c, 0x30638000, 0x14600010,
+0x828c0, 0xb71021, 0x9442737e, 0x1447fff5,
+0x1002021, 0xb71021, 0x94437380, 0x96220002,
+0x5462fff1, 0x420c0, 0xb71021, 0x94437382,
+0x96220004, 0x5462ffec, 0x420c0, 0x24060001,
+0x30c200ff, 0x1040023b, 0x0, 0x8003622,
+0x0, 0x97430202, 0x96420000, 0x14620235,
+0x0, 0x97430204, 0x96420002, 0x14620231,
+0x0, 0x97430206, 0x96420004, 0x1462022d,
+0x0, 0x92420000, 0x3a030001, 0x30420001,
+0x431024, 0x10400074, 0x2402ffff, 0x8e230000,
+0x14620004, 0x3402ffff, 0x96230004, 0x1062006f,
+0x24140002, 0x3c020001, 0x571021, 0x904283b2,
+0x1440006a, 0x24140003, 0x92e204d8, 0x14400067,
+0x0, 0x3c020001, 0x571021, 0x8c4283b4,
+0x28420005, 0x10400020, 0x3821, 0x3c020001,
+0x571021, 0x8c4283b4, 0x18400016, 0x2821,
+0x96260000, 0x520c0, 0x971021, 0x9442777e,
+0x14460009, 0x971021, 0x94437780, 0x96220002,
+0x14620005, 0x971021, 0x94437782, 0x96220004,
+0x50620008, 0x24070001, 0x3c020001, 0x571021,
+0x8c4283b4, 0x24a50001, 0xa2102a, 0x5440ffee,
+0x520c0, 0x30e200ff, 0x14400044, 0x24140003,
+0x800384e, 0x0, 0x2402021, 0xc0022fe,
+0x24050006, 0x3044001f, 0x428c0, 0x2e51021,
+0x9442727c, 0x30424000, 0x144001ea, 0xb71021,
+0x9443727e, 0x96220000, 0x1462000b, 0x418c0,
+0xb71021, 0x94437280, 0x96220002, 0x14620006,
+0x418c0, 0xb71021, 0x94437282, 0x96220004,
+0x10620027, 0x418c0, 0x2e31021, 0x9442727c,
+0x30428000, 0x144001d7, 0x2e31021, 0x9448727c,
+0x96270000, 0x828c0, 0xb71021, 0x9442737e,
+0x8003689, 0x3021, 0x420c0, 0x2e41021,
+0x9443737c, 0x2e41021, 0x9448737c, 0x30638000,
+0x14600010, 0x828c0, 0xb71021, 0x9442737e,
+0x1447fff5, 0x1002021, 0xb71021, 0x94437380,
+0x96220002, 0x5462fff1, 0x420c0, 0xb71021,
+0x94437382, 0x96220004, 0x5462ffec, 0x420c0,
+0x24060001, 0x30c200ff, 0x104001b6, 0x0,
+0x800369c, 0x24140003, 0x24140001, 0x8f420260,
+0x53102b, 0x10400049, 0x0, 0x8f8300e4,
+0x8f8200e0, 0x10620003, 0x24630008, 0xaf8300e4,
+0xaf8300e8, 0x8ee400c0, 0x8ee500c4, 0x2601821,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0x8ee20058,
+0x24420001, 0xaee20058, 0x8ee20058, 0x8ee2007c,
+0x24420001, 0xaee2007c, 0x8ee2007c, 0x8f8200e0,
+0xafa20010, 0x8f8200e4, 0x3c040001, 0x24845058,
+0xafa20014, 0x8fa60018, 0x8fa7001c, 0x3c050006,
+0xc002407, 0x34a5f003, 0x8003854, 0x0,
+0x8ee25240, 0xafa20010, 0x8ee25244, 0x3c040001,
+0x24845064, 0xafa20014, 0x8ee60e10, 0x8ee70e18,
+0xc002407, 0x34a5f002, 0x8ee201c0, 0x24420001,
+0xaee201c0, 0x8ee20000, 0x8ee301c0, 0x2403ffbf,
+0x431024, 0x80037fc, 0xaee20000, 0x8ee25240,
+0xafa20010, 0x8ee25244, 0x3c040001, 0x24845064,
+0xafa20014, 0x8ee60e10, 0x8ee70e18, 0x3c050006,
+0xc002407, 0x34a5f002, 0x8ee201c0, 0x24420001,
+0xaee201c0, 0x80037fc, 0x8ee201c0, 0x96e20468,
+0x53102b, 0x54400001, 0x3c158000, 0x12600131,
+0x3c0c001f, 0x358cffff, 0x8ee2724c, 0x8f430280,
+0x24420001, 0x304207ff, 0x10620108, 0x0,
+0x12a00014, 0x0, 0x8ee35240, 0x8ee25244,
+0x10620009, 0x26ee5244, 0x8eeb5244, 0x8ee35244,
+0x21140, 0x24425248, 0x2e28021, 0x24630001,
+0x8003716, 0x306800ff, 0x92e27248, 0x1440ffc0,
+0x3c050006, 0x8ee201e0, 0x24420001, 0xaee201e0,
+0x8ee201e0, 0x8ee30e10, 0x8ee20e18, 0x1062ffcb,
+0x26ee0e18, 0x8eeb0e18, 0xa821, 0x8ee30e18,
+0x21140, 0x24420e20, 0x2e28021, 0x24630001,
+0x306801ff, 0x96e2046a, 0x30420010, 0x10400017,
+0x34028100, 0x9643000c, 0x14620014, 0x0,
+0x3c020001, 0x571021, 0x904283c0, 0x1440000f,
+0x0, 0x9642000e, 0xa6020016, 0x8e420008,
+0x8e430004, 0x8e440000, 0x2673fffc, 0xae42000c,
+0xae430008, 0xae440004, 0x9602000e, 0x26310004,
+0x24160001, 0x34420200, 0xa602000e, 0x9603000a,
+0x2605021, 0x73102b, 0x10400002, 0x2606821,
+0x605021, 0x2d42003d, 0x1040002a, 0x3821,
+0x9623000c, 0x24020800, 0x54620027, 0xae110018,
+0x3c020001, 0x571021, 0x904283c0, 0x54400022,
+0xae110018, 0x26220017, 0x182102b, 0x10400013,
+0x0, 0x3c02fff5, 0x511021, 0x90421017,
+0x38430006, 0x2c630001, 0x38420011, 0x2c420001,
+0x621825, 0x10600013, 0x26220010, 0x182102b,
+0x1040000e, 0x0, 0x3c07fff5, 0xf13821,
+0x94e71010, 0x8003762, 0x24e7000e, 0x92220017,
+0x38430006, 0x2c630001, 0x38420011, 0x2c420001,
+0x621825, 0x50600004, 0xae110018, 0x96270010,
+0x24e7000e, 0xae110018, 0x3c020001, 0x571021,
+0x904283c0, 0x2102b, 0x14e00002, 0x24ec0,
+0x1403821, 0x8f830120, 0x27623800, 0x24660020,
+0xc2102b, 0x50400001, 0x27663000, 0x8f820128,
+0x10c20004, 0x0, 0x8f820124, 0x14c20007,
+0x2402000b, 0x8ee201a4, 0x4821, 0x24420001,
+0xaee201a4, 0x80037c3, 0x8ee201a4, 0x8e040000,
+0x8e050004, 0xac620018, 0x1751025, 0x491025,
+0xac710008, 0xa467000e, 0xac62001c, 0xac640000,
+0xac650004, 0x8ee204c0, 0xac620010, 0xaf860120,
+0x92e24e20, 0x14400038, 0x24090001, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020007, 0x14620020, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001c, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee34e34, 0x8ee54e30,
+0x24020040, 0x24630001, 0x10620007, 0x0,
+0x8ee24e34, 0x24420001, 0x10a20005, 0x0,
+0x80037ad, 0x0, 0x14a00005, 0x0,
+0x8f820128, 0x24420020, 0xaf820128, 0x8f820128,
+0x8c820004, 0x2c420011, 0x50400013, 0xac800000,
+0x80037c3, 0x0, 0x8ee24e30, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020007, 0xac820000,
+0x24020001, 0xac820004, 0x15200018, 0x3c050006,
+0x8e020018, 0x3c040001, 0x24845070, 0xafa20010,
+0x8e020000, 0x8e030004, 0x34a5f009, 0x2003021,
+0xc002407, 0xafa30014, 0x32c200ff, 0x1040002b,
+0x34028100, 0x8e430004, 0x8e440008, 0x8e45000c,
+0xa642000c, 0xae430000, 0xae440004, 0xae450008,
+0x96020016, 0x80037fc, 0xa642000e, 0x154d000a,
+0x0, 0x9602000e, 0xa613000a, 0x34420004,
+0xa602000e, 0x3c010001, 0x370821, 0xa02083c0,
+0x80037fa, 0x9821, 0x9604000a, 0x93102b,
+0x10400002, 0x2601821, 0x801821, 0x24020001,
+0xa603000a, 0x3c010001, 0x370821, 0xa02283c0,
+0x9604000a, 0x2248821, 0x191102b, 0x10400003,
+0x3c02fff5, 0x34421000, 0x2228821, 0x2649823,
+0xa821, 0x1660fef4, 0xadc80000, 0x12600021,
+0x32c200ff, 0x3c010001, 0x370821, 0xac3383c4,
+0x3c010001, 0x370821, 0xac3183c8, 0x3c010001,
+0x370821, 0x10400008, 0xac3283cc, 0x3c020001,
+0x571021, 0x8c4283cc, 0x24420004, 0x3c010001,
+0x370821, 0xac2283cc, 0x8ee2724c, 0x8f430280,
+0x24420001, 0x14620006, 0x0, 0x8ee201c4,
+0x24420001, 0xaee201c4, 0x8003854, 0x8ee201c4,
+0x8ee201bc, 0x24420001, 0xaee201bc, 0x8003854,
+0x8ee201bc, 0x97a4001e, 0x2484fffc, 0x801821,
+0x8ee400c0, 0x8ee500c4, 0x1021, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0x24020002,
+0xaee400c0, 0xaee500c4, 0x1282000f, 0x2a820003,
+0x14400017, 0x24020003, 0x16820015, 0x0,
+0x8ee200d0, 0x8ee300d4, 0x24630001, 0x2c640001,
+0x441021, 0xaee200d0, 0xaee300d4, 0x8ee200d0,
+0x800384e, 0x8ee300d4, 0x8ee200d8, 0x8ee300dc,
+0x24630001, 0x2c640001, 0x441021, 0xaee200d8,
+0xaee300dc, 0x8ee200d8, 0x800384e, 0x8ee300dc,
+0x8ee200c8, 0x8ee300cc, 0x24630001, 0x2c640001,
+0x441021, 0xaee200c8, 0xaee300cc, 0x8ee200c8,
+0x8ee300cc, 0x8f8300e4, 0x8f8200e0, 0x10620003,
+0x24630008, 0xaf8300e4, 0xaf8300e8, 0x8fbf004c,
+0x8fb60048, 0x8fb50044, 0x8fb40040, 0x8fb3003c,
+0x8fb20038, 0x8fb10034, 0x8fb00030, 0x3e00008,
+0x27bd0050, 0x27bdff90, 0xafb60060, 0xb021,
+0xafbf0068, 0xafbe0064, 0xafb5005c, 0xafb40058,
+0xafb30054, 0xafb20050, 0xafb1004c, 0xafb00048,
+0x8ee204d4, 0x8821, 0x24150001, 0x30420001,
+0x1440002a, 0xa3a0002f, 0x8f8700e0, 0x8f8800c4,
+0x8f8200e8, 0xe22023, 0x2c821000, 0x50400001,
+0x24841000, 0x420c2, 0x801821, 0x8ee400c8,
+0x8ee500cc, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xaee400c8, 0xaee500cc,
+0x8f8300c8, 0x3c02000a, 0x3442efff, 0x1032023,
+0x44102b, 0x10400003, 0x3c02000a, 0x3442f000,
+0x822021, 0x801821, 0x8ee400c0, 0x8ee500c4,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0xaf8800c8,
+0xaf8700e4, 0x8003c5f, 0xaf8700e8, 0x3c020001,
+0x571021, 0x904283c0, 0x1040000b, 0x0,
+0x3c130001, 0x2779821, 0x8e7383c4, 0x3c100001,
+0x2178021, 0x8e1083c8, 0x3c120001, 0x2579021,
+0x8003a5d, 0x8e5283cc, 0x8f8300e0, 0x8f8200e4,
+0x10430007, 0x3821, 0x8f8200e4, 0x24070001,
+0x8c430000, 0x8c440004, 0xafa30018, 0xafa4001c,
+0x14e0000e, 0x3c02ffff, 0x8f8200c4, 0xafa20010,
+0x8f8200c8, 0x3c040001, 0x24845094, 0xafa20014,
+0x8f8600e0, 0x8f8700e4, 0x3c050006, 0xc002407,
+0x34a5f200, 0x8003c5f, 0x0, 0x8fa3001c,
+0x8fb20018, 0x3073ffff, 0x2673fffc, 0x621024,
+0x10400058, 0x2408021, 0x3c020080, 0x621024,
+0x1040000a, 0x3c040040, 0x8ee2007c, 0x24420001,
+0xaee2007c, 0x8ee2007c, 0x8ee201fc, 0x24420001,
+0xaee201fc, 0x8003c59, 0x8ee201fc, 0x3c060004,
+0x3c0b0001, 0x3c0a0002, 0x3c050010, 0x3c090008,
+0x8ee20080, 0x3c080020, 0x34078000, 0x24420001,
+0xaee20080, 0x8ee20080, 0x8fa2001c, 0x441824,
+0x10660021, 0xc3102b, 0x14400007, 0x0,
+0x106b0011, 0x0, 0x106a0015, 0x0,
+0x800391a, 0x42042, 0x10650023, 0xa3102b,
+0x14400005, 0x0, 0x10690019, 0x0,
+0x800391a, 0x42042, 0x10680021, 0x0,
+0x800391a, 0x42042, 0x8ee20034, 0x24420001,
+0xaee20034, 0x8ee20034, 0x800391a, 0x42042,
+0x8ee201ec, 0x24420001, 0xaee201ec, 0x8ee201ec,
+0x800391a, 0x42042, 0x8ee201f0, 0x24420001,
+0xaee201f0, 0x8ee201f0, 0x800391a, 0x42042,
+0x8ee201f4, 0x24420001, 0xaee201f4, 0x8ee201f4,
+0x800391a, 0x42042, 0x8ee20030, 0x24420001,
+0xaee20030, 0x8ee20030, 0x800391a, 0x42042,
+0x8ee201f8, 0x24420001, 0xaee201f8, 0x8ee201f8,
+0x42042, 0x1087033e, 0x0, 0x80038df,
+0x0, 0x3c020001, 0x571021, 0x904283b2,
+0x14400084, 0x24020001, 0x3c030001, 0x771821,
+0x906383b3, 0x1462007f, 0x3c020100, 0x8e430000,
+0x621024, 0x1040006f, 0x2402ffff, 0x14620005,
+0x24110001, 0x96430004, 0x3402ffff, 0x10620075,
+0x0, 0x92e204d8, 0x14400072, 0x0,
+0x3c020001, 0x571021, 0x8c4283b4, 0x28420005,
+0x10400020, 0x3821, 0x3c020001, 0x571021,
+0x8c4283b4, 0x18400016, 0x2821, 0x96060000,
+0x520c0, 0x971021, 0x9442777e, 0x14460009,
+0x971021, 0x94437780, 0x96020002, 0x14620005,
+0x971021, 0x94437782, 0x96020004, 0x50620008,
+0x24070001, 0x3c020001, 0x571021, 0x8c4283b4,
+0x24a50001, 0xa2102a, 0x5440ffee, 0x520c0,
+0x30e200ff, 0x10400302, 0x0, 0x80039a6,
+0x0, 0x2402021, 0xc0022fe, 0x24050006,
+0x3044001f, 0x428c0, 0x2e51021, 0x9442727c,
+0x30424000, 0x144002f6, 0xb71021, 0x9443727e,
+0x96020000, 0x1462000b, 0x418c0, 0xb71021,
+0x94437280, 0x96020002, 0x14620006, 0x418c0,
+0xb71021, 0x94437282, 0x96020004, 0x10620035,
+0x418c0, 0x2e31021, 0x9442727c, 0x30428000,
+0x144002e3, 0x2e31021, 0x944d727c, 0x96070000,
+0xd28c0, 0xb71021, 0x9442737e, 0x8003988,
+0x3021, 0x420c0, 0x2e41021, 0x9443737c,
+0x2e41021, 0x944d737c, 0x30638000, 0x14600010,
+0xd28c0, 0xb71021, 0x9442737e, 0x1447fff5,
+0x1a02021, 0xb71021, 0x94437380, 0x96020002,
+0x5462fff1, 0x420c0, 0xb71021, 0x94437382,
+0x96020004, 0x5462ffec, 0x420c0, 0x24060001,
+0x30c200ff, 0x104002c2, 0x0, 0x80039a6,
+0x0, 0x97430202, 0x96420000, 0x146202bc,
+0x0, 0x97430204, 0x96420002, 0x146202b8,
+0x0, 0x97430206, 0x96420004, 0x146202b4,
+0x0, 0x92420000, 0x3a230001, 0x30420001,
+0x431024, 0x10400074, 0x2402ffff, 0x8e030000,
+0x14620004, 0x3402ffff, 0x96030004, 0x1062006f,
+0x24150002, 0x3c020001, 0x571021, 0x904283b2,
+0x1440006a, 0x24150003, 0x92e204d8, 0x14400067,
+0x0, 0x3c020001, 0x571021, 0x8c4283b4,
+0x28420005, 0x10400020, 0x3821, 0x3c020001,
+0x571021, 0x8c4283b4, 0x18400016, 0x2821,
+0x96060000, 0x520c0, 0x971021, 0x9442777e,
+0x14460009, 0x971021, 0x94437780, 0x96020002,
+0x14620005, 0x971021, 0x94437782, 0x96020004,
+0x50620008, 0x24070001, 0x3c020001, 0x571021,
+0x8c4283b4, 0x24a50001, 0xa2102a, 0x5440ffee,
+0x520c0, 0x30e200ff, 0x14400044, 0x24150003,
+0x8003c59, 0x0, 0x2402021, 0xc0022fe,
+0x24050006, 0x3044001f, 0x428c0, 0x2e51021,
+0x9442727c, 0x30424000, 0x14400271, 0xb71021,
+0x9443727e, 0x96020000, 0x1462000b, 0x418c0,
+0xb71021, 0x94437280, 0x96020002, 0x14620006,
+0x418c0, 0xb71021, 0x94437282, 0x96020004,
+0x10620027, 0x418c0, 0x2e31021, 0x9442727c,
+0x30428000, 0x1440025e, 0x2e31021, 0x944d727c,
+0x96070000, 0xd28c0, 0xb71021, 0x9442737e,
+0x8003a0d, 0x3021, 0x420c0, 0x2e41021,
+0x9443737c, 0x2e41021, 0x944d737c, 0x30638000,
+0x14600010, 0xd28c0, 0xb71021, 0x9442737e,
+0x1447fff5, 0x1a02021, 0xb71021, 0x94437380,
+0x96020002, 0x5462fff1, 0x420c0, 0xb71021,
+0x94437382, 0x96020004, 0x5462ffec, 0x420c0,
+0x24060001, 0x30c200ff, 0x1040023d, 0x0,
+0x8003a20, 0x24150003, 0x24150001, 0x8f420260,
+0x53102b, 0x10400036, 0x0, 0x8f8300e4,
+0x8f8200e0, 0x10620003, 0x24630008, 0xaf8300e4,
+0xaf8300e8, 0x8ee400c0, 0x8ee500c4, 0x2601821,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaee400c0, 0xaee500c4, 0x8ee20058,
+0x24420001, 0xaee20058, 0x8ee20058, 0x8ee2007c,
+0x24420001, 0xaee2007c, 0x8ee2007c, 0x8f8200e0,
+0xafa20010, 0x8f8200e4, 0x3c040001, 0x248450a0,
+0xafa20014, 0x8fa60018, 0x8fa7001c, 0x3c050006,
+0xc002407, 0x34a5f203, 0x8003c5f, 0x0,
+0x8ee25240, 0xafa20010, 0x8ee25244, 0x3c040001,
+0x248450ac, 0xafa20014, 0x8ee60e10, 0x8ee70e18,
+0x3c050006, 0xc002407, 0x34a5f202, 0x8ee201c0,
+0x24420001, 0xaee201c0, 0x8003c06, 0x8ee201c0,
+0x96e20468, 0x53102b, 0x54400001, 0x3c168000,
+0x126001cb, 0x3c0e001f, 0x35ceffff, 0x3c0ffff5,
+0x35ef1000, 0x241e0040, 0x8ee2724c, 0x8f430280,
+0x24420001, 0x304207ff, 0x1062019e, 0x0,
+0x12c00012, 0x0, 0x8ee35240, 0x8ee25244,
+0x1062000a, 0x26f85244, 0x8ef45244, 0xafb80024,
+0x8ee35244, 0x21140, 0x24425248, 0x2e28821,
+0x24630001, 0x8003a89, 0x306d00ff, 0x8ee201e0,
+0x24420001, 0xaee201e0, 0x8ee201e0, 0x8ee30e10,
+0x8ee20e18, 0x1062ffca, 0x26f80e18, 0x8ef40e18,
+0xb021, 0xafb80024, 0x8ee30e18, 0x21140,
+0x24420e20, 0x2e28821, 0x24630001, 0x306d01ff,
+0x96e2046a, 0x30420010, 0x10400018, 0x34028100,
+0x9643000c, 0x14620015, 0x0, 0x3c020001,
+0x571021, 0x904283c0, 0x14400010, 0x0,
+0x9642000e, 0xa6220016, 0x8e420008, 0x8e430004,
+0x8e440000, 0x2673fffc, 0xae42000c, 0xae430008,
+0xae440004, 0x9622000e, 0x26100004, 0x24180001,
+0xa3b8002f, 0x34420200, 0xa622000e, 0x8e220000,
+0x8e230004, 0x3c040001, 0x34843800, 0x2003021,
+0x306a0007, 0x20a8023, 0x3641021, 0x202102b,
+0x10400005, 0x26a9821, 0x2041023, 0x3621823,
+0x3c020020, 0x438023, 0x26620007, 0x9623000a,
+0x2418fff8, 0x58c824, 0x6a1821, 0x79102b,
+0x10400002, 0x3206021, 0x606021, 0x1801821,
+0x24620007, 0x2418fff8, 0x586024, 0x26c102b,
+0x14400004, 0x1932823, 0x1832823, 0x8003ac7,
+0xc31021, 0xd31021, 0x4a2023, 0x1c4102b,
+0x54400001, 0x8f2021, 0x25420040, 0x4c102b,
+0x14400035, 0x5821, 0x94c3000c, 0x24020800,
+0x54620032, 0xae260018, 0x3c020001, 0x571021,
+0x904283c0, 0x5440002d, 0xae260018, 0x24c20017,
+0x1c2102b, 0x10400013, 0x0, 0x3c02fff5,
+0x461021, 0x90421017, 0x38430006, 0x2c630001,
+0x38420011, 0x2c420001, 0x621825, 0x10600014,
+0x24c20010, 0x1c2102b, 0x1040000e, 0x0,
+0x3c0bfff5, 0x1665821, 0x956b1010, 0x8003af8,
+0x2562000e, 0x90c20017, 0x38430006, 0x2c630001,
+0x38420011, 0x2c420001, 0x621825, 0x10600005,
+0x1601821, 0x94cb0010, 0x2562000e, 0x4a5821,
+0x1601821, 0x24620007, 0x2418fff8, 0x585824,
+0xc31021, 0x4a2023, 0x1c4102b, 0x10400002,
+0x1632823, 0x8f2021, 0xae260018, 0x3c020001,
+0x571021, 0x904283c0, 0x2102b, 0x216c0,
+0x15600002, 0xafa20044, 0x1805821, 0x30820001,
+0x10400007, 0x4021, 0x90880000, 0x24840001,
+0x1c4102b, 0x10400002, 0x24a5ffff, 0x8f2021,
+0x50a00012, 0x81c02, 0x2ca20002, 0x54400009,
+0x24a5ffff, 0x94820000, 0x24840002, 0x1024021,
+0x1c4102b, 0x10400006, 0x24a5fffe, 0x8003b25,
+0x8f2021, 0x90820000, 0x21200, 0x1024021,
+0x14a0fff2, 0x2ca20002, 0x81c02, 0x3102ffff,
+0x624021, 0x3108ffff, 0x1402821, 0x11400011,
+0x2002021, 0x2ca20002, 0x54400009, 0x24a5ffff,
+0x94820000, 0x24840002, 0x1024021, 0x1c4102b,
+0x10400006, 0x24a5fffe, 0x8003b3c, 0x8f2021,
+0x90820000, 0x21200, 0x1024021, 0x14a0fff2,
+0x2ca20002, 0x81c02, 0x3102ffff, 0x624021,
+0x81c02, 0x3102ffff, 0x8f890120, 0x624021,
+0x27623800, 0x25230020, 0x62102b, 0x14400002,
+0x3108ffff, 0x27633000, 0x8f820128, 0x10620004,
+0x0, 0x8f820124, 0x14620007, 0x1402821,
+0x8ee201a4, 0x3821, 0x24420001, 0xaee201a4,
+0x8003bcd, 0x8ee201a4, 0x8e260000, 0x8e270004,
+0x81400, 0x3448000b, 0xad300008, 0xa52b000e,
+0xad280018, 0x8fb80044, 0x2021, 0x2961025,
+0x581025, 0xad22001c, 0xe5102b, 0xe53823,
+0xc43023, 0xc23023, 0xad260000, 0xad270004,
+0x8ee204c0, 0xad220010, 0xaf830120, 0x92e24e20,
+0x1440005f, 0x24070001, 0x2502ffee, 0x2c420002,
+0x14400003, 0x24020011, 0x15020024, 0x0,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c830000, 0x24020012, 0x1462000f, 0x0,
+0x8ee34e30, 0x8ee24e34, 0x1062000b, 0x0,
+0x8c820004, 0x24420001, 0xac820004, 0x8ee24e34,
+0x8ee34e30, 0x24420001, 0x105e002a, 0x0,
+0x8003bac, 0x0, 0x8ee24e30, 0x24420001,
+0x505e0003, 0x1021, 0x8ee24e30, 0x24420001,
+0xaee24e30, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8003bca, 0x24020012, 0x8ee24e30,
+0x210c0, 0x24425038, 0x2e22021, 0x8c830000,
+0x24020007, 0x1462001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x105e0007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8003bb8,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400012, 0xac800000, 0x8003bcd,
+0x0, 0x8ee24e30, 0x24420001, 0x505e0003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020007, 0xac820000, 0x24020001, 0xac820004,
+0x14e00019, 0x3c050006, 0x3c040001, 0x24845070,
+0x8e220018, 0x34a5f209, 0xafa20010, 0x8e220000,
+0x8e230004, 0x2203021, 0x1603821, 0xc002407,
+0xafa30014, 0x93a2002f, 0x1040002a, 0x34028100,
+0x8e430004, 0x8e440008, 0x8e45000c, 0xa642000c,
+0xae430000, 0xae440004, 0xae450008, 0x96220016,
+0x8003c06, 0xa642000e, 0x1599000a, 0x26a1823,
+0x9622000e, 0xa623000a, 0x34420004, 0xa622000e,
+0x3c010001, 0x370821, 0xa02083c0, 0x8003c03,
+0x9821, 0x9624000a, 0x83102b, 0x54400001,
+0x801821, 0x24020001, 0xa623000a, 0x3c010001,
+0x370821, 0xa02283c0, 0x9622000a, 0x4a1821,
+0x2038021, 0x1d0102b, 0x54400001, 0x20f8021,
+0x2639823, 0xb021, 0x8fb80024, 0x1660fe5e,
+0xaf0d0000, 0x12600022, 0x0, 0x3c010001,
+0x370821, 0xac3383c4, 0x3c010001, 0x370821,
+0xac3083c8, 0x3c010001, 0x370821, 0xac3283cc,
+0x93a2002f, 0x10400008, 0x0, 0x3c020001,
+0x571021, 0x8c4283cc, 0x24420004, 0x3c010001,
+0x370821, 0xac2283cc, 0x8f430280, 0x8ee2724c,
+0x14620006, 0x0, 0x8ee201c4, 0x24420001,
+0xaee201c4, 0x8003c5f, 0x8ee201c4, 0x8ee201bc,
+0x24420001, 0xaee201bc, 0x8003c5f, 0x8ee201bc,
+0x97a4001e, 0x2484fffc, 0x801821, 0x8ee400c0,
+0x8ee500c4, 0x1021, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0x24020002, 0xaee400c0,
+0xaee500c4, 0x12a2000f, 0x2aa20003, 0x14400017,
+0x24020003, 0x16a20015, 0x0, 0x8ee200d0,
+0x8ee300d4, 0x24630001, 0x2c640001, 0x441021,
+0xaee200d0, 0xaee300d4, 0x8ee200d0, 0x8003c59,
+0x8ee300d4, 0x8ee200d8, 0x8ee300dc, 0x24630001,
+0x2c640001, 0x441021, 0xaee200d8, 0xaee300dc,
+0x8ee200d8, 0x8003c59, 0x8ee300dc, 0x8ee200c8,
+0x8ee300cc, 0x24630001, 0x2c640001, 0x441021,
+0xaee200c8, 0xaee300cc, 0x8ee200c8, 0x8ee300cc,
+0x8f8300e4, 0x8f8200e0, 0x10620003, 0x24630008,
+0xaf8300e4, 0xaf8300e8, 0x8fbf0068, 0x8fbe0064,
+0x8fb60060, 0x8fb5005c, 0x8fb40058, 0x8fb30054,
+0x8fb20050, 0x8fb1004c, 0x8fb00048, 0x3e00008,
+0x27bd0070, 0x27bdffe0, 0xafbf0018, 0x8ee30e14,
+0x8ee20e0c, 0x10620074, 0x0, 0x8ee30e0c,
+0x8ee20e14, 0x622023, 0x4820001, 0x24840200,
+0x8ee30e18, 0x8ee20e14, 0x43102b, 0x14400004,
+0x24020200, 0x8ee30e14, 0x8003c81, 0x431823,
+0x8ee20e18, 0x8ee30e14, 0x431023, 0x2443ffff,
+0x804821, 0x69102a, 0x54400001, 0x604821,
+0x8f870100, 0x27623000, 0x24e80020, 0x102102b,
+0x50400001, 0x27682800, 0x8f820108, 0x11020004,
+0x0, 0x8f820104, 0x15020007, 0x1021,
+0x8ee201a8, 0x2021, 0x24420001, 0xaee201a8,
+0x8003cc3, 0x8ee201a8, 0x8ee40e14, 0x42140,
+0x801821, 0x8ee40460, 0x8ee50464, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0xace40000,
+0xace50004, 0x8ee30e14, 0x91140, 0xa4e2000e,
+0x24020002, 0xace20018, 0x31940, 0x24630e20,
+0x2e31021, 0xace20008, 0x8ee20e14, 0xace2001c,
+0x8ee204cc, 0xace20010, 0xaf880100, 0x92e204ec,
+0x14400011, 0x24040001, 0x8ee24e28, 0x24030040,
+0x24420001, 0x50430003, 0x1021, 0x8ee24e28,
+0x24420001, 0xaee24e28, 0x8ee24e28, 0x210c0,
+0x24424e38, 0x2e21821, 0x24020002, 0xac620000,
+0x24020001, 0xac620004, 0x1480000e, 0x24030040,
+0x8ee20e14, 0xafa20010, 0x8ee20e18, 0x3c050007,
+0xafa20014, 0x8ee60e0c, 0x8ee70e10, 0x3c040001,
+0x248450b4, 0xc002407, 0x34a5f001, 0x8003ce1,
+0x0, 0x8ee20500, 0x24420001, 0x50430003,
+0x1021, 0x8ee20500, 0x24420001, 0xaee20500,
+0x8ee20500, 0x21080, 0x571021, 0xac490508,
+0x8ee20e14, 0x491021, 0x304201ff, 0xaee20e14,
+0x8ee30e14, 0x8ee20e0c, 0x14620005, 0x0,
+0x8f820060, 0x2403fdff, 0x431024, 0xaf820060,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x27bdffe0,
+0xafbf0018, 0x8ee3523c, 0x8ee25238, 0x10620074,
+0x0, 0x8ee35238, 0x8ee2523c, 0x622023,
+0x4820001, 0x24840100, 0x8ee35244, 0x8ee2523c,
+0x43102b, 0x14400004, 0x24020100, 0x8ee3523c,
+0x8003d03, 0x431823, 0x8ee25244, 0x8ee3523c,
+0x431023, 0x2443ffff, 0x804821, 0x69102a,
+0x54400001, 0x604821, 0x8f870100, 0x27623000,
+0x24e80020, 0x102102b, 0x50400001, 0x27682800,
+0x8f820108, 0x11020004, 0x0, 0x8f820104,
+0x15020007, 0x1021, 0x8ee201a8, 0x2021,
+0x24420001, 0xaee201a8, 0x8003d45, 0x8ee201a8,
+0x8ee4523c, 0x42140, 0x801821, 0x8ee40470,
+0x8ee50474, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xace40000, 0xace50004, 0x8ee3523c,
+0x91140, 0xa4e2000e, 0x24020003, 0xace20018,
+0x31940, 0x24635248, 0x2e31021, 0xace20008,
+0x8ee2523c, 0xace2001c, 0x8ee204cc, 0xace20010,
+0xaf880100, 0x92e204ec, 0x14400011, 0x24040001,
+0x8ee24e28, 0x24030040, 0x24420001, 0x50430003,
+0x1021, 0x8ee24e28, 0x24420001, 0xaee24e28,
+0x8ee24e28, 0x210c0, 0x24424e38, 0x2e21821,
+0x24020003, 0xac620000, 0x24020001, 0xac620004,
+0x1480000e, 0x24030040, 0x8ee2523c, 0xafa20010,
+0x8ee25244, 0x3c050007, 0xafa20014, 0x8ee65238,
+0x8ee75240, 0x3c040001, 0x248450c0, 0xc002407,
+0x34a5f010, 0x8003d63, 0x0, 0x8ee20500,
+0x24420001, 0x50430003, 0x1021, 0x8ee20500,
+0x24420001, 0xaee20500, 0x8ee20500, 0x21080,
+0x571021, 0xac490508, 0x8ee2523c, 0x491021,
+0x304200ff, 0xaee2523c, 0x8ee3523c, 0x8ee25238,
+0x14620005, 0x0, 0x8f820060, 0x2403feff,
+0x431024, 0xaf820060, 0x8fbf0018, 0x3e00008,
+0x27bd0020, 0x8f820120, 0x8ee34e34, 0x8f820124,
+0x8f860128, 0x24020040, 0x24630001, 0x50620003,
+0x1021, 0x8ee24e34, 0x24420001, 0xaee24e34,
+0x8ee24e34, 0x8ee44e34, 0x8ee34e30, 0x210c0,
+0x24425038, 0x14830007, 0x2e22821, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8003d96,
+0xaca00000, 0x8ee24e34, 0x24030040, 0x24420001,
+0x50430003, 0x1021, 0x8ee24e34, 0x24420001,
+0x210c0, 0x24425038, 0x2e22821, 0x8ca20004,
+0x8f830128, 0x21140, 0x621821, 0xaf830128,
+0xaca00000, 0x8cc20018, 0x2443fffe, 0x2c620012,
+0x10400008, 0x31080, 0x3c010001, 0x220821,
+0x8c2250d0, 0x400008, 0x0, 0x24020001,
+0xaee24e24, 0x3e00008, 0x0, 0x27bdffc8,
+0xafbf0030, 0xafb5002c, 0xafb40028, 0xafb30024,
+0xafb20020, 0xafb1001c, 0xafb00018, 0x8f830128,
+0x8f820124, 0x106202b0, 0x9821, 0x3c11001f,
+0x3631ffff, 0x3c12fff5, 0x36521000, 0x24150012,
+0x24140040, 0x8f8c0128, 0x8f820128, 0x24420020,
+0xaf820128, 0x9182001b, 0x8f830128, 0x2443fffe,
+0x2c620012, 0x1040029c, 0x31080, 0x3c010001,
+0x220821, 0x8c225128, 0x400008, 0x0,
+0x8f420218, 0x30420100, 0x10400007, 0x0,
+0x95830016, 0x95820018, 0x621823, 0x31402,
+0x431021, 0xa5820016, 0x8d82001c, 0x3c038000,
+0x3044ffff, 0x436824, 0x3c030800, 0x431824,
+0x11a00004, 0xad84001c, 0x41140, 0x8003ddc,
+0x24425248, 0x41140, 0x24420e20, 0x2e25821,
+0x9562000e, 0x3042fffc, 0x10600004, 0xa562000e,
+0x95840016, 0x8003ec4, 0x0, 0x8d690018,
+0x4021, 0x952a0000, 0x25290002, 0x95270000,
+0x25290002, 0x95260000, 0x25290002, 0x95250000,
+0x25290002, 0x95240000, 0x25290002, 0x95230000,
+0x25290002, 0x95220000, 0x25290002, 0x1475021,
+0x1465021, 0x1455021, 0x1445021, 0x1435021,
+0x1425021, 0xa1c02, 0x3142ffff, 0x625021,
+0xa1c02, 0x3142ffff, 0x625021, 0x96e2046a,
+0x314effff, 0x30420002, 0x10400044, 0x5021,
+0x25220014, 0x222102b, 0x10400014, 0x1201821,
+0x2405000a, 0x2021, 0x223102b, 0x54400001,
+0x721821, 0x94620000, 0x24630002, 0x24a5ffff,
+0x14a0fff9, 0x822021, 0x41c02, 0x3082ffff,
+0x622021, 0x41402, 0x3083ffff, 0x431021,
+0x3042ffff, 0x8003e37, 0x1425021, 0x952a0000,
+0x25290002, 0x95280000, 0x25290002, 0x95270000,
+0x25290002, 0x95260000, 0x25290002, 0x95250000,
+0x25290002, 0x95230000, 0x25290002, 0x95220000,
+0x25290002, 0x95240000, 0x25290002, 0x1485021,
+0x1475021, 0x1465021, 0x1455021, 0x1435021,
+0x1425021, 0x95220000, 0x95230002, 0x1445021,
+0x1425021, 0x1435021, 0xa1c02, 0x3142ffff,
+0x625021, 0xa1c02, 0x3142ffff, 0x625021,
+0x3148ffff, 0x51000001, 0x3408ffff, 0x8d620018,
+0x9443000c, 0x24020800, 0x54620005, 0xa5680010,
+0x9562000e, 0x34420002, 0xa562000e, 0xa5680010,
+0x96e2046a, 0x2821, 0x30420008, 0x14400056,
+0x3021, 0x8d630018, 0x24620024, 0x222102b,
+0x10400034, 0x24690010, 0x229102b, 0x54400001,
+0x1324821, 0x95250000, 0x24690014, 0x229102b,
+0x10400002, 0x24a5ffec, 0x1324821, 0x95220000,
+0x30420fff, 0x14400003, 0x25290002, 0x8003e64,
+0x24130001, 0x9821, 0xa03021, 0x229102b,
+0x54400001, 0x1324821, 0x91220001, 0x25290002,
+0xa22821, 0x229102b, 0x54400001, 0x1324821,
+0x25290002, 0x229102b, 0x54400001, 0x1324821,
+0x95220000, 0x25290002, 0xa22821, 0x229102b,
+0x54400001, 0x1324821, 0x95220000, 0x25290002,
+0xa22821, 0x229102b, 0x54400001, 0x1324821,
+0x95220000, 0x25290002, 0xa22821, 0x229102b,
+0x54400001, 0x1324821, 0x95220000, 0x8003e9d,
+0xa22821, 0x94650010, 0x94620014, 0x24690016,
+0x30420fff, 0x14400003, 0x24a5ffec, 0x8003e90,
+0x24130001, 0x9821, 0xa03021, 0x91230001,
+0x25290004, 0x95220000, 0x25290002, 0x95240000,
+0x25290002, 0xa32821, 0xa22821, 0x95220000,
+0x95230002, 0xa42821, 0xa22821, 0xa32821,
+0x51c02, 0x30a2ffff, 0x622821, 0x51c02,
+0x30a2ffff, 0x622821, 0x96e2046a, 0x30420001,
+0x1040001e, 0x2021, 0x95820016, 0x4e2023,
+0x41402, 0x822021, 0x326200ff, 0x50400002,
+0x862021, 0x852021, 0x41402, 0x822021,
+0x3084ffff, 0x50800001, 0x3404ffff, 0x8d620018,
+0x24430017, 0x223102b, 0x54400001, 0x721821,
+0x90620000, 0x38430011, 0x2c630001, 0x38420006,
+0x2c420001, 0x621825, 0x10600004, 0x0,
+0x9562000e, 0x34420001, 0xa562000e, 0x9562000e,
+0x240a0002, 0x30420004, 0x10400002, 0xa5640012,
+0x240a0004, 0x8f880120, 0x27623800, 0x25090020,
+0x122102b, 0x50400001, 0x27693000, 0x8f820128,
+0x11220004, 0x0, 0x8f820124, 0x15220007,
+0x24040020, 0x8ee201a4, 0x8021, 0x24420001,
+0xaee201a4, 0x8003f53, 0x8ee201a4, 0x8ee5724c,
+0x8ee60490, 0x8ee70494, 0xad0b0008, 0xa504000e,
+0xad0a0018, 0x52940, 0xa01821, 0x1021,
+0xe33821, 0xe3202b, 0xc23021, 0xc43021,
+0xad060000, 0xad070004, 0x8ee2724c, 0x4d1025,
+0xad02001c, 0x8ee204c4, 0xad020010, 0xaf890120,
+0x92e24e20, 0x14400060, 0x24100001, 0x2543ffee,
+0x2c630002, 0x39420011, 0x2c420001, 0x621825,
+0x10600024, 0x0, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x1455000f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062000b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x1054002b,
+0x0, 0x8003f32, 0x0, 0x8ee24e30,
+0x24420001, 0x50540003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020001, 0x8003f52,
+0xac950000, 0x8ee24e30, 0x210c0, 0x24425038,
+0x2e22021, 0x8c830000, 0x24020007, 0x1462001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x10540007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x8003f3e, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400012,
+0xac800000, 0x8003f53, 0x0, 0x8ee24e30,
+0x24420001, 0x50540003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020007, 0xac820000,
+0x24020001, 0xac820004, 0x1600000d, 0x0,
+0x8f820120, 0x3c040001, 0x24845118, 0xafa00014,
+0xafa20010, 0x8d86001c, 0x8f870124, 0x3c050008,
+0xc002407, 0x34a50001, 0x800405b, 0x0,
+0x8ee2724c, 0x24420001, 0x304207ff, 0x11a00006,
+0xaee2724c, 0x8ee201d0, 0x2442ffff, 0xaee201d0,
+0x8003f6f, 0x8ee201d0, 0x8ee201cc, 0x2442ffff,
+0xaee201cc, 0x8ee201cc, 0x8ee201d8, 0x2442ffff,
+0xaee201d8, 0x800405b, 0x8ee201d8, 0x8f420240,
+0x104000e5, 0x0, 0x8ee20e1c, 0x24420001,
+0x800405b, 0xaee20e1c, 0x9582001e, 0xad82001c,
+0x8f420240, 0x10400072, 0x0, 0x8ee20e1c,
+0x24420001, 0xaee20e1c, 0x8f430240, 0x43102b,
+0x144000d5, 0x0, 0x8f830120, 0x27623800,
+0x24660020, 0xc2102b, 0x50400001, 0x27663000,
+0x8f820128, 0x10c20004, 0x0, 0x8f820124,
+0x14c20007, 0x0, 0x8ee201a4, 0x8021,
+0x24420001, 0xaee201a4, 0x8003fde, 0x8ee201a4,
+0x8ee2724c, 0xac62001c, 0x8ee404a8, 0x8ee504ac,
+0x2462001c, 0xac620008, 0x24020008, 0xa462000e,
+0x24020011, 0xac620018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400034, 0x24100001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x1455001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x10540007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x8003fca, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400011,
+0xac800000, 0x8003fde, 0x0, 0x8ee24e30,
+0x24420001, 0x50540003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x24020001, 0xac950000,
+0xac820004, 0x5600000b, 0x24100001, 0x8ee2724c,
+0x3c040001, 0x24845088, 0xafa00014, 0xafa20010,
+0x8ee6724c, 0x8f470280, 0x3c050009, 0xc002407,
+0x34a5f008, 0x56000001, 0xaee00e1c, 0x8ee20188,
+0x24420001, 0xaee20188, 0x8004054, 0x8ee20188,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x8021, 0x24420001, 0xaee201a4,
+0x8004048, 0x8ee201a4, 0x8ee2724c, 0xac62001c,
+0x8ee404a8, 0x8ee504ac, 0x2462001c, 0xac620008,
+0x24020008, 0xa462000e, 0x24020011, 0xac620018,
+0xac640000, 0xac650004, 0x8ee204c4, 0xac620010,
+0xaf860120, 0x92e24e20, 0x14400034, 0x24100001,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x8c820000, 0x1455001f, 0x0, 0x8ee34e30,
+0x8ee24e34, 0x1062001b, 0x0, 0x8c820004,
+0x24420001, 0xac820004, 0x8ee24e34, 0x8ee34e30,
+0x24420001, 0x10540007, 0x0, 0x8ee24e34,
+0x24420001, 0x10620005, 0x0, 0x8004034,
+0x0, 0x14600005, 0x0, 0x8f820128,
+0x24420020, 0xaf820128, 0x8f820128, 0x8c820004,
+0x2c420011, 0x50400011, 0xac800000, 0x8004048,
+0x0, 0x8ee24e30, 0x24420001, 0x50540003,
+0x1021, 0x8ee24e30, 0x24420001, 0xaee24e30,
+0x8ee24e30, 0x210c0, 0x24425038, 0x2e22021,
+0x24020001, 0xac950000, 0xac820004, 0x1600000b,
+0x0, 0x8ee2724c, 0x3c040001, 0x24845088,
+0xafa00014, 0xafa20010, 0x8ee6724c, 0x8f470280,
+0x3c050009, 0xc002407, 0x34a5f008, 0x8ee20174,
+0x24420001, 0xaee20174, 0x800405b, 0x8ee20174,
+0x24020001, 0xaee24e24, 0x8f830128, 0x8f820124,
+0x1462fd58, 0x0, 0x8fbf0030, 0x8fb5002c,
+0x8fb40028, 0x8fb30024, 0x8fb20020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0038, 0x27bdffe8,
+0x27840208, 0x27450200, 0x24060008, 0xafbf0014,
+0xc00249e, 0xafb00010, 0x2021, 0x24100001,
+0x2402241f, 0xaf900210, 0xaf900200, 0xaf800204,
+0xaf820214, 0x8f460248, 0x24030004, 0x3c020040,
+0x3c010001, 0xac235484, 0x3c010001, 0xac235488,
+0x3c010001, 0xac20553c, 0x3c010001, 0xac225480,
+0x3c010001, 0xac235488, 0xc004fa8, 0x24050004,
+0xc004784, 0x0, 0x8ee20000, 0x3c03feff,
+0x3463fffd, 0x431024, 0xaee20000, 0x3c023c00,
+0xaf82021c, 0x3c010001, 0x370821, 0xac3083ac,
+0x8fbf0014, 0x8fb00010, 0x3e00008, 0x27bd0018,
+0x27bdffe0, 0x3c050008, 0x34a50400, 0xafbf0018,
+0xafa00010, 0xafa00014, 0x8f860200, 0x3c040001,
+0x248451d0, 0xc002407, 0x3821, 0x8ee20280,
+0x24420001, 0xaee20280, 0x8ee20280, 0x8f830200,
+0x3c023f00, 0x621824, 0x8fbf0018, 0x3c020400,
+0x3e00008, 0x27bd0020, 0x27bdffd8, 0xafbf0020,
+0xafb1001c, 0xafb00018, 0x8f900220, 0x8ee20214,
+0x3821, 0x24420001, 0xaee20214, 0x8ee20214,
+0x3c020300, 0x2021024, 0x10400027, 0x3c110400,
+0xc00429f, 0x0, 0x3c020100, 0x2021024,
+0x10400007, 0x0, 0x8ee20218, 0x24420001,
+0xaee20218, 0x8ee20218, 0x80040ca, 0x3c03fdff,
+0x8ee2021c, 0x24420001, 0xaee2021c, 0x8ee2021c,
+0x3c03fdff, 0x3463ffff, 0x3c0808ff, 0x3508ffff,
+0x8ee20000, 0x3c040001, 0x248451dc, 0x3c050008,
+0x2003021, 0x431024, 0xaee20000, 0x8f820220,
+0x3821, 0x3c030300, 0x481024, 0x431025,
+0xaf820220, 0xafa00010, 0xc002407, 0xafa00014,
+0x800429a, 0x0, 0x2111024, 0x1040001f,
+0x3c024000, 0x8f830224, 0x24021402, 0x1462000b,
+0x3c03fdff, 0x3c040001, 0x248451e8, 0x3c050008,
+0xafa00010, 0xafa00014, 0x8f860224, 0x34a5ffff,
+0xc002407, 0x3821, 0x3c03fdff, 0x8ee20000,
+0x3463ffff, 0x2002021, 0x431024, 0xc004cf4,
+0xaee20000, 0x8ee20220, 0x24420001, 0xaee20220,
+0x8ee20220, 0x8f820220, 0x3c0308ff, 0x3463ffff,
+0x431024, 0x8004299, 0x511025, 0x2021024,
+0x10400142, 0x0, 0x8ee2022c, 0x24420001,
+0xaee2022c, 0x8ee2022c, 0x8f820220, 0x3c0308ff,
+0x3463ffff, 0x431024, 0x34420004, 0xaf820220,
+0x8f830054, 0x8f820054, 0x8004112, 0x24630002,
+0x8f820054, 0x621023, 0x2c420003, 0x1440fffc,
+0x0, 0x8f8600e0, 0x8f8400e4, 0x30c20007,
+0x10400012, 0x0, 0x8f8300e4, 0x2402fff8,
+0xc21024, 0x1043000d, 0x0, 0x8f820054,
+0x8f8300e0, 0x14c30009, 0x24440050, 0x8f820054,
+0x821023, 0x2c420051, 0x10400004, 0x0,
+0x8f8200e0, 0x10c2fff9, 0x0, 0x8f820220,
+0x3c0308ff, 0x3463fffd, 0x431024, 0xaf820220,
+0x8f8600e0, 0x30c20007, 0x10400003, 0x2402fff8,
+0xc23024, 0xaf8600e0, 0x8f8300c4, 0x3c02001f,
+0x3442ffff, 0x24680008, 0x48102b, 0x10400003,
+0x3c02fff5, 0x34421000, 0x1024021, 0x8f8b00c8,
+0x8f850120, 0x8f840124, 0x8004149, 0x6021,
+0x27623800, 0x82102b, 0x50400001, 0x27643000,
+0x10a40010, 0x318200ff, 0x8c820018, 0x38430007,
+0x2c630001, 0x3842000b, 0x2c420001, 0x621825,
+0x5060fff3, 0x24840020, 0x8ee20240, 0x240c0001,
+0x24420001, 0xaee20240, 0x8ee20240, 0x8c8b0008,
+0x318200ff, 0x14400065, 0x0, 0x3c020001,
+0x571021, 0x904283c0, 0x14400060, 0x0,
+0x8f8400e4, 0xc41023, 0x218c3, 0x4620001,
+0x24630200, 0x8f8900c4, 0x10600005, 0x24020001,
+0x10620009, 0x0, 0x800418b, 0x0,
+0x8ee20230, 0x1205821, 0x24420001, 0xaee20230,
+0x80041c0, 0x8ee20230, 0x8ee20234, 0x3c05000a,
+0x24420001, 0xaee20234, 0x8c8b0000, 0x34a5f000,
+0x8ee20234, 0x12b1823, 0xa3102b, 0x54400001,
+0x651821, 0x2c62233f, 0x14400040, 0x0,
+0x8f8200e8, 0x24420008, 0xaf8200e8, 0x8f8200e8,
+0x8f8200e4, 0x1205821, 0x24420008, 0xaf8200e4,
+0x80041c0, 0x8f8200e4, 0x8ee20238, 0x3c03000a,
+0x24420001, 0xaee20238, 0x8c840000, 0x3463f000,
+0x8ee20238, 0x883823, 0x67102b, 0x54400001,
+0xe33821, 0x3c020003, 0x34420d40, 0x47102b,
+0x10400003, 0x0, 0x80041c0, 0x805821,
+0x8f8200e4, 0x24440008, 0xaf8400e4, 0x8f8400e4,
+0x10860018, 0x3c05000a, 0x34a5f000, 0x3c0a0003,
+0x354a0d40, 0x8ee2007c, 0x24420001, 0xaee2007c,
+0x8c830000, 0x8ee2007c, 0x683823, 0xa7102b,
+0x54400001, 0xe53821, 0x147102b, 0x54400007,
+0x605821, 0x8f8200e4, 0x24440008, 0xaf8400e4,
+0x8f8400e4, 0x1486ffef, 0x0, 0x14860005,
+0x0, 0x1205821, 0xaf8600e4, 0x80041c0,
+0xaf8600e8, 0xaf8400e4, 0xaf8400e8, 0x8f8200c8,
+0x3c03000a, 0x3463f000, 0x483823, 0x67102b,
+0x54400001, 0xe33821, 0x3c020003, 0x34420d3f,
+0x47102b, 0x54400007, 0x6021, 0x1683823,
+0x67102b, 0x54400003, 0xe33821, 0x80041d3,
+0x3c020003, 0x3c020003, 0x34420d3f, 0x47102b,
+0x14400016, 0x318200ff, 0x14400006, 0x0,
+0x3c020001, 0x571021, 0x904283c0, 0x1040000f,
+0x0, 0x8ee2023c, 0x3c04fdff, 0x8ee30000,
+0x3484ffff, 0x24420001, 0xaee2023c, 0x8ee2023c,
+0x24020001, 0x641824, 0x3c010001, 0x370821,
+0xa02283b8, 0x8004230, 0xaee30000, 0xaf8b00c8,
+0x8f8300c8, 0x8f8200c4, 0x3c04000a, 0x3484f000,
+0x623823, 0x87102b, 0x54400001, 0xe43821,
+0x3c020003, 0x34420d40, 0x47102b, 0x2ce30001,
+0x431025, 0x10400008, 0x0, 0x8f820220,
+0x3c0308ff, 0x3463ffff, 0x431024, 0x3c034000,
+0x431025, 0xaf820220, 0x8f8600e0, 0x8f8400e4,
+0x10c4002a, 0x0, 0x8ee2007c, 0x24420001,
+0xaee2007c, 0x8ee2007c, 0x24c2fff8, 0xaf8200e0,
+0x3c020001, 0x8c4275c0, 0x3c030008, 0x8f8600e0,
+0x431024, 0x1040001d, 0x0, 0x10c4001b,
+0x240dfff8, 0x3c0a000a, 0x354af000, 0x3c0c0080,
+0x24850008, 0x27622800, 0x50a20001, 0x27651800,
+0x8c880004, 0x8c820000, 0x8ca90000, 0x3103ffff,
+0x431021, 0x4d1024, 0x24430010, 0x6b102b,
+0x54400001, 0x6a1821, 0x12b102b, 0x54400001,
+0x12a4821, 0x10690002, 0x10c1025, 0xac820004,
+0xa02021, 0x14c4ffeb, 0x24850008, 0x8f820220,
+0x3c0308ff, 0x3463ffff, 0x431024, 0x34420002,
+0xaf820220, 0x8f830054, 0x8f820054, 0x800423b,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820220, 0x3c0308ff,
+0x3463fffb, 0x431024, 0xaf820220, 0x6010055,
+0x0, 0x8ee20228, 0x24420001, 0xaee20228,
+0x8ee20228, 0x8f820220, 0x3c0308ff, 0x3463ffff,
+0x431024, 0x34420004, 0xaf820220, 0x8f830054,
+0x8f820054, 0x8004255, 0x24630002, 0x8f820054,
+0x621023, 0x2c420003, 0x1440fffc, 0x0,
+0x8f8600e0, 0x30c20007, 0x10400012, 0x0,
+0x8f8300e4, 0x2402fff8, 0xc21024, 0x1043000d,
+0x0, 0x8f820054, 0x8f8300e0, 0x14c30009,
+0x24440032, 0x8f820054, 0x821023, 0x2c420033,
+0x10400004, 0x0, 0x8f8200e0, 0x10c2fff9,
+0x0, 0x8f820220, 0x3c0308ff, 0x3463fffd,
+0x431024, 0xaf820220, 0x8f8600e0, 0x30c20007,
+0x10400003, 0x2402fff8, 0xc23024, 0xaf8600e0,
+0x240301f5, 0x8f8200e8, 0x673823, 0x718c0,
+0x431021, 0xaf8200e8, 0x8f8200e8, 0xaf8200e4,
+0x8ee2007c, 0x3c0408ff, 0x3484ffff, 0x471021,
+0xaee2007c, 0x8f820220, 0x3c038000, 0x34630002,
+0x441024, 0x431025, 0xaf820220, 0x8f830054,
+0x8f820054, 0x8004291, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820220, 0x3c0308ff, 0x3463fffb, 0x431024,
+0xaf820220, 0x8fbf0020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0028, 0x3c020001, 0x8c425498,
+0x27bdffd8, 0x10400012, 0xafbf0020, 0x3c040001,
+0x248451f4, 0x3c050008, 0x24020001, 0x3c010001,
+0x370821, 0xac2283ac, 0xafa00010, 0xafa00014,
+0x8f860220, 0x34a50498, 0x3c010001, 0xac205498,
+0x3c010001, 0xac22548c, 0xc002407, 0x3821,
+0x8f420268, 0x3c037fff, 0x3463ffff, 0x431024,
+0xaf420268, 0x8ee204d0, 0x8ee404d4, 0x2403fffe,
+0x431024, 0x30840002, 0x1080011e, 0xaee204d0,
+0x8ee204d4, 0x2403fffd, 0x431024, 0xaee204d4,
+0x8f820044, 0x3c030600, 0x34632000, 0x34420020,
+0xaf820044, 0xafa30018, 0x8ee20608, 0x8f430228,
+0x24420001, 0x304a00ff, 0x514300fe, 0xafa00010,
+0x8ee20608, 0x210c0, 0x571021, 0x8fa30018,
+0x8fa4001c, 0xac43060c, 0xac440610, 0x8f830054,
+0x8f820054, 0x24690032, 0x1221023, 0x2c420033,
+0x1040006a, 0x5821, 0x24180008, 0x240f000d,
+0x240d0007, 0x240c0040, 0x240e0001, 0x8f870120,
+0x27623800, 0x24e80020, 0x102102b, 0x50400001,
+0x27683000, 0x8f820128, 0x11020004, 0x0,
+0x8f820124, 0x15020007, 0x1021, 0x8ee201a4,
+0x2821, 0x24420001, 0xaee201a4, 0x8004341,
+0x8ee201a4, 0x8ee40608, 0x420c0, 0x801821,
+0x8ee40430, 0x8ee50434, 0xa32821, 0xa3302b,
+0x822021, 0x862021, 0xace40000, 0xace50004,
+0x8ee20608, 0xa4f8000e, 0xacef0018, 0xacea001c,
+0x210c0, 0x2442060c, 0x2e21021, 0xace20008,
+0x8ee204c4, 0xace20010, 0xaf880120, 0x92e24e20,
+0x14400033, 0x24050001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x144d001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x104c0007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x800432e, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400010,
+0xac800000, 0x8004341, 0x0, 0x8ee24e30,
+0x24420001, 0x504c0003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0xac8d0000, 0xac8e0004,
+0x54a00006, 0x240b0001, 0x8f820054, 0x1221023,
+0x2c420033, 0x1440ff9d, 0x0, 0x316300ff,
+0x24020001, 0x54620079, 0xafa00010, 0xaeea0608,
+0x8f830054, 0x8f820054, 0x24690032, 0x1221023,
+0x2c420033, 0x10400061, 0x5821, 0x240d0008,
+0x240c0011, 0x24080012, 0x24070040, 0x240a0001,
+0x8f830120, 0x27623800, 0x24660020, 0xc2102b,
+0x50400001, 0x27663000, 0x8f820128, 0x10c20004,
+0x0, 0x8f820124, 0x14c20007, 0x0,
+0x8ee201a4, 0x2821, 0x24420001, 0xaee201a4,
+0x80043ad, 0x8ee201a4, 0x8ee20608, 0xac62001c,
+0x8ee404a0, 0x8ee504a4, 0x2462001c, 0xac620008,
+0xa46d000e, 0xac6c0018, 0xac640000, 0xac650004,
+0x8ee204c4, 0xac620010, 0xaf860120, 0x92e24e20,
+0x14400033, 0x24050001, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0x8c820000, 0x1448001f,
+0x0, 0x8ee34e30, 0x8ee24e34, 0x1062001b,
+0x0, 0x8c820004, 0x24420001, 0xac820004,
+0x8ee24e34, 0x8ee34e30, 0x24420001, 0x10470007,
+0x0, 0x8ee24e34, 0x24420001, 0x10620005,
+0x0, 0x800439a, 0x0, 0x14600005,
+0x0, 0x8f820128, 0x24420020, 0xaf820128,
+0x8f820128, 0x8c820004, 0x2c420011, 0x50400010,
+0xac800000, 0x80043ad, 0x0, 0x8ee24e30,
+0x24420001, 0x50470003, 0x1021, 0x8ee24e30,
+0x24420001, 0xaee24e30, 0x8ee24e30, 0x210c0,
+0x24425038, 0x2e22021, 0xac880000, 0xac8a0004,
+0x54a00006, 0x240b0001, 0x8f820054, 0x1221023,
+0x2c420033, 0x1440ffa6, 0x0, 0x316300ff,
+0x24020001, 0x54620003, 0xafa00010, 0x80043da,
+0x0, 0x3c040001, 0x24845200, 0xafa00014,
+0x8f860120, 0x8f870124, 0x3c050009, 0xc002407,
+0x34a5f011, 0x80043da, 0x0, 0x3c040001,
+0x2484520c, 0xafa00014, 0x8f860120, 0x8f870124,
+0x3c050009, 0xc002407, 0x34a5f010, 0x80043da,
+0x0, 0x3c040001, 0x24845218, 0xafa00014,
+0x8ee60608, 0x8f470228, 0x3c050009, 0xc002407,
+0x34a5f00f, 0x8ee201ac, 0x24420001, 0xaee201ac,
+0x8ee201ac, 0x8ee2015c, 0x24420001, 0xaee2015c,
+0x8ee2015c, 0x8fbf0020, 0x3e00008, 0x27bd0028,
+0x3c020001, 0x8c425498, 0x27bdffe0, 0x1440000d,
+0xafbf0018, 0x3c040001, 0x24845224, 0x3c050008,
+0xafa00010, 0xafa00014, 0x8f860220, 0x34a50499,
+0x24020001, 0x3c010001, 0xac225498, 0xc002407,
+0x3821, 0x8ee204d0, 0x3c030001, 0x771821,
+0x946383b2, 0x34420001, 0x10600007, 0xaee204d0,
+0x8f820220, 0x3c0308ff, 0x3463ffff, 0x431024,
+0x34420008, 0xaf820220, 0x2021, 0xc0050b3,
+0x24050004, 0xaf420268, 0x8fbf0018, 0x3e00008,
+0x27bd0020, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x3c120001,
+0x26521200, 0x3c140001, 0x8e945410, 0x3c100001,
+0x26101120, 0x3c15c000, 0x36b50060, 0x8e8a0000,
+0x8eb30000, 0x26a400b, 0x248000a, 0x200f821,
+0x0, 0xd, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x80014d6,
+0x0, 0x80014d8, 0x3c0a0001, 0x80014d8,
+0x3c0a0002, 0x80014d8, 0x0, 0x80024aa,
+0x0, 0x80014d8, 0x3c0a0003, 0x80014d8,
+0x3c0a0004, 0x8002f90, 0x0, 0x80014d8,
+0x3c0a0005, 0x8003cec, 0x0, 0x8003c6a,
+0x0, 0x80014d8, 0x3c0a0006, 0x80014d8,
+0x3c0a0007, 0x80014d8, 0x0, 0x80014d8,
+0x0, 0x80014d8, 0x0, 0x8002a79,
+0x0, 0x80014d8, 0x3c0a000b, 0x80014d8,
+0x3c0a000c, 0x80014d8, 0x3c0a000d, 0x800237c,
+0x0, 0x8002339, 0x0, 0x80014d8,
+0x3c0a000e, 0x8001b3c, 0x0, 0x80024a8,
+0x0, 0x80014d8, 0x3c0a000f, 0x80040ab,
+0x0, 0x8004095, 0x0, 0x80014d8,
+0x3c0a0010, 0x80014ee, 0x0, 0x80014d8,
+0x3c0a0011, 0x80014d8, 0x3c0a0012, 0x80014d8,
+0x3c0a0013, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x3c030001,
+0x34633800, 0x24050080, 0x2404001f, 0x2406ffff,
+0x24020001, 0xaf80021c, 0xaf820200, 0xaf820220,
+0x3631021, 0xaf8200c0, 0x3631021, 0xaf8200c4,
+0x3631021, 0xaf8200c8, 0x27623800, 0xaf8200d0,
+0x27623800, 0xaf8200d4, 0x27623800, 0xaf8200d8,
+0x27621800, 0xaf8200e0, 0x27621800, 0xaf8200e4,
+0x27621800, 0xaf8200e8, 0x27621000, 0xaf8200f0,
+0x27621000, 0xaf8200f4, 0x27621000, 0xaf8200f8,
+0xaca00000, 0x2484ffff, 0x1486fffd, 0x24a50004,
+0x8f830040, 0x3c02f000, 0x621824, 0x3c025000,
+0x1062000c, 0x43102b, 0x14400006, 0x3c026000,
+0x3c024000, 0x10620008, 0x24020800, 0x8004539,
+0x0, 0x10620004, 0x24020800, 0x8004539,
+0x0, 0x24020700, 0x3c010001, 0xac22549c,
+0x3e00008, 0x0, 0x27bdffd0, 0xafbf0028,
+0x3c010001, 0xc004cd1, 0xac205484, 0x24040001,
+0x2821, 0x27a60020, 0x34028000, 0xc0048ee,
+0xa7a20020, 0x8f830054, 0x8f820054, 0x800454b,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x24040001, 0x24050001, 0xc0048ac,
+0x27a60020, 0x8f830054, 0x8f820054, 0x8004557,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x24040001, 0x24050001, 0xc0048ac,
+0x27a60020, 0x8f830054, 0x8f820054, 0x8004563,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x24040001, 0x24050002, 0xc0048ac,
+0x27a60018, 0x8f830054, 0x8f820054, 0x800456f,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x24040001, 0x24050003, 0xc0048ac,
+0x27a6001a, 0x97a20020, 0x10400027, 0x24030001,
+0x3c020001, 0x8c425484, 0x97a30018, 0x34420001,
+0x3c010001, 0xac225484, 0x24020015, 0x1462000d,
+0x0, 0x97a2001a, 0x3843f423, 0x2c630001,
+0x3842f430, 0x2c420001, 0x621825, 0x10600005,
+0x24020003, 0x3c010001, 0xac225550, 0x80045a7,
+0x3c08fff0, 0x97a30018, 0x24027810, 0x1462000a,
+0x24020002, 0x97a3001a, 0x24020001, 0x14620006,
+0x24020002, 0x24020004, 0x3c010001, 0xac225550,
+0x80045a7, 0x3c08fff0, 0x3c010001, 0xac225550,
+0x80045a7, 0x3c08fff0, 0x3c020001, 0x8c425484,
+0x3c010001, 0xac235550, 0x34420004, 0x3c010001,
+0xac225484, 0x3c08fff0, 0x3508bdc0, 0x8f830054,
+0x97a60018, 0x3c070001, 0x8ce75550, 0x3c040001,
+0x24845290, 0x24020001, 0x3c010001, 0xac22548c,
+0xafa60010, 0x3c060001, 0x8cc65484, 0x97a2001a,
+0x3c05000d, 0x34a50100, 0x3c010001, 0xac205488,
+0x681821, 0x3c010001, 0xac235548, 0xc002407,
+0xafa20014, 0x8fbf0028, 0x3e00008, 0x27bd0030,
+0x27bdffe8, 0x24070004, 0x3c040001, 0x8c845488,
+0x3021, 0x24020001, 0x1482000a, 0xafbf0010,
+0x3c020001, 0x8c4275cc, 0x3c050004, 0x30428000,
+0x1040000c, 0x34a593e0, 0x3c05000f, 0x80045da,
+0x34a54240, 0x3c020001, 0x8c4275cc, 0x3c05000f,
+0x30428000, 0x10400003, 0x34a54240, 0x3c05001e,
+0x34a58480, 0x3c020001, 0x8c425548, 0x8f830054,
+0x451021, 0x431023, 0x45102b, 0x1440002e,
+0x0, 0x3c020001, 0x8c425490, 0x1440002a,
+0x2cc20001, 0x7182b, 0x431024, 0x1040001d,
+0x0, 0x3c090001, 0x8d295484, 0x240b0001,
+0x3c054000, 0x3c080001, 0x250875cc, 0x250afffc,
+0x42042, 0x14800002, 0x24e7ffff, 0x24040008,
+0x891024, 0x5040000b, 0x2cc20001, 0x148b0004,
+0x0, 0x8d020000, 0x80045ff, 0x451024,
+0x8d420000, 0x451024, 0x54400001, 0x24060001,
+0x2cc20001, 0x7182b, 0x431024, 0x5440ffed,
+0x42042, 0x3c010001, 0x10c00024, 0xac245488,
+0x8f830054, 0x24020001, 0x3c010001, 0xac22548c,
+0x3c010001, 0xac235548, 0x3c020001, 0x8c42548c,
+0x10400006, 0x24020001, 0x3c010001, 0xac20548c,
+0x3c010001, 0x370821, 0xac2283ac, 0x3c030001,
+0x771821, 0x8c6383ac, 0x24020008, 0x10620005,
+0x24020001, 0xc00462f, 0x0, 0x800462c,
+0x0, 0x3c030001, 0x8c635488, 0x10620007,
+0x2402000e, 0x3c030001, 0x8c637560, 0x10620003,
+0x0, 0xc004cf4, 0x8f840220, 0x8fbf0010,
+0x3e00008, 0x27bd0018, 0x27bdffe0, 0x3c02fdff,
+0xafbf0018, 0x8ee30000, 0x3c050001, 0x8ca55488,
+0x3c040001, 0x8c8454a8, 0x3442ffff, 0x621824,
+0x14a40008, 0xaee30000, 0x3c030001, 0x771821,
+0x8c6383ac, 0x3c020001, 0x8c4254ac, 0x10620008,
+0x0, 0x3c020001, 0x571021, 0x8c4283ac,
+0x3c010001, 0xac2554a8, 0x3c010001, 0xac2254ac,
+0x3c030001, 0x8c635488, 0x24020002, 0x10620131,
+0x2c620003, 0x10400005, 0x24020001, 0x10620008,
+0x0, 0x800477e, 0x0, 0x24020004,
+0x10620079, 0x24020001, 0x800477f, 0x0,
+0x3c020001, 0x571021, 0x8c4283ac, 0x2443ffff,
+0x2c620008, 0x10400122, 0x31080, 0x3c010001,
+0x220821, 0x8c2252a8, 0x400008, 0x0,
+0xc004784, 0x0, 0x3c020001, 0x8c425494,
+0x3c010001, 0xac205420, 0x104000bd, 0x24020002,
+0x3c010001, 0x370821, 0xac2283ac, 0x3c010001,
+0x8004781, 0xac205494, 0xc00492f, 0x0,
+0x3c030001, 0x8c6354b0, 0x80046f0, 0x24020011,
+0x3c050001, 0x8ca55488, 0x3c060001, 0x8cc675cc,
+0xc004fa8, 0x2021, 0x24020005, 0x3c010001,
+0xac205494, 0x3c010001, 0x370821, 0x8004781,
+0xac2283ac, 0x3c040001, 0x2484529c, 0x3c05000f,
+0x34a50100, 0x3021, 0x3821, 0xafa00010,
+0xc002407, 0xafa00014, 0x8004781, 0x0,
+0x8f820220, 0x3c03f700, 0x431025, 0x8004719,
+0xaf820220, 0x8f820220, 0x3c030004, 0x431024,
+0x14400090, 0x24020007, 0x8f830054, 0x3c020001,
+0x8c425540, 0x2463d8f0, 0x431023, 0x2c422710,
+0x144000df, 0x24020001, 0x800477f, 0x0,
+0x3c050001, 0x8ca55488, 0xc0050b3, 0x2021,
+0xc00517e, 0x2021, 0x3c030001, 0x8c6375c4,
+0x46100d1, 0x24020001, 0x3c020008, 0x621024,
+0x10400006, 0x0, 0x8f820214, 0x3c03ffff,
+0x431024, 0x80046bc, 0x3442251f, 0x8f820214,
+0x3c03ffff, 0x431024, 0x3442241f, 0xaf820214,
+0x8ee20000, 0x3c030200, 0x431025, 0xaee20000,
+0x8f820220, 0x2403fffb, 0x431024, 0xaf820220,
+0x8f820220, 0x34420002, 0xaf820220, 0x24020008,
+0x3c010001, 0x370821, 0xc0043e1, 0xac2283ac,
+0x8004781, 0x0, 0x3c020001, 0x571021,
+0x8c4283ac, 0x2443ffff, 0x2c620008, 0x104000ac,
+0x31080, 0x3c010001, 0x220821, 0x8c2252c8,
+0x400008, 0x0, 0xc00429f, 0x0,
+0x3c010001, 0xac20548c, 0xaf800204, 0x3c010001,
+0xc004784, 0xac2075b0, 0x24020001, 0x3c010001,
+0xac2254a0, 0x24020002, 0x3c010001, 0x370821,
+0x8004781, 0xac2283ac, 0xc004801, 0x0,
+0x3c030001, 0x8c6354a0, 0x24020009, 0x14620090,
+0x24020003, 0x3c010001, 0x370821, 0x8004781,
+0xac2283ac, 0x3c020001, 0x8c4275c8, 0x30424000,
+0x10400005, 0x0, 0x8f820044, 0x3c03ffff,
+0x8004701, 0x34637fff, 0x8f820044, 0x2403ff7f,
+0x431024, 0xaf820044, 0x8f830054, 0x800471b,
+0x24020004, 0x8f830054, 0x3c020001, 0x8c425540,
+0x2463d8f0, 0x431023, 0x2c422710, 0x14400074,
+0x24020005, 0x3c010001, 0x370821, 0x8004781,
+0xac2283ac, 0x8f820220, 0x3c03f700, 0x431025,
+0xaf820220, 0xaf800204, 0x3c010001, 0xac2075b0,
+0x8f830054, 0x24020006, 0x3c010001, 0x370821,
+0xac2283ac, 0x3c010001, 0x8004781, 0xac235540,
+0x8f830054, 0x3c020001, 0x8c425540, 0x2463fff6,
+0x431023, 0x2c42000a, 0x14400059, 0x0,
+0x24020007, 0x3c010001, 0x370821, 0x8004781,
+0xac2283ac, 0x8f820220, 0x3c04f700, 0x441025,
+0xaf820220, 0x8f820220, 0x3c030300, 0x431024,
+0x14400005, 0x1821, 0x8f820220, 0x24030001,
+0x441025, 0xaf820220, 0x10600043, 0x24020001,
+0x8f820214, 0x3c03ffff, 0x3c040001, 0x8c845538,
+0x431024, 0x3442251f, 0xaf820214, 0x24020008,
+0x3c010001, 0x370821, 0x1080000b, 0xac2283ac,
+0x3c020001, 0x8c425514, 0x14400007, 0x24020001,
+0x3c010001, 0xac227560, 0xc004cf4, 0x8f840220,
+0x800476e, 0x0, 0x8f820220, 0x3c030008,
+0x431024, 0x14400017, 0x2402000e, 0x3c010001,
+0xac227560, 0x8ee20000, 0x2021, 0x3c030200,
+0x431025, 0xc00517e, 0xaee20000, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x34420002, 0xc0043e1, 0xaf820220, 0x3c050001,
+0x8ca55488, 0xc0050b3, 0x2021, 0x8004781,
+0x0, 0x3c020001, 0x8c425514, 0x10400010,
+0x0, 0x3c020001, 0x8c425510, 0x2442ffff,
+0x3c010001, 0xac225510, 0x14400009, 0x24020002,
+0x3c010001, 0xac205514, 0x3c010001, 0x8004781,
+0xac225510, 0x24020001, 0x3c010001, 0xac22548c,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x8f820200,
+0x8f820220, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820200, 0x3c060001, 0x8cc65488, 0x34420004,
+0xaf820200, 0x24020002, 0x10c2003a, 0x2cc20003,
+0x10400005, 0x24020001, 0x10c20008, 0x0,
+0x80047ca, 0x0, 0x24020004, 0x10c20013,
+0x24020001, 0x80047ca, 0x0, 0x3c030001,
+0x8c635478, 0x3c020001, 0x8c425480, 0x3c040001,
+0x8c84549c, 0x3c050001, 0x8ca5547c, 0xaf860200,
+0xaf860220, 0x34630022, 0x441025, 0x451025,
+0x34420002, 0x80047c9, 0xaf830200, 0x3c030001,
+0x8c635538, 0xaf820200, 0x10600009, 0xaf820220,
+0x3c020001, 0x8c425514, 0x14400005, 0x3c033f00,
+0x3c020001, 0x8c425470, 0x80047bd, 0x346300e0,
+0x3c020001, 0x8c425470, 0x3c033f00, 0x346300e2,
+0x431025, 0xaf820200, 0x3c030001, 0x8c635474,
+0x3c04f700, 0x3c020001, 0x8c425480, 0x3c050001,
+0x8ca5549c, 0x641825, 0x431025, 0x451025,
+0xaf820220, 0x3e00008, 0x0, 0x8f820220,
+0x3c030001, 0x8c635488, 0x34420004, 0xaf820220,
+0x24020001, 0x1062000f, 0x0, 0x8f830054,
+0x8f820054, 0x24630002, 0x621023, 0x2c420003,
+0x10400011, 0x0, 0x8f820054, 0x621023,
+0x2c420003, 0x1040000c, 0x0, 0x80047db,
+0x0, 0x8f830054, 0x8f820054, 0x80047e7,
+0x24630007, 0x8f820054, 0x621023, 0x2c420008,
+0x1440fffc, 0x0, 0x8f8400e0, 0x30820007,
+0x1040000d, 0x0, 0x8f820054, 0x8f8300e0,
+0x14830009, 0x24450032, 0x8f820054, 0xa21023,
+0x2c420033, 0x10400004, 0x0, 0x8f8200e0,
+0x1082fff9, 0x0, 0x8f820220, 0x2403fffd,
+0x431024, 0xaf820220, 0x3e00008, 0x0,
+0x3c030001, 0x8c6354a0, 0x3c020001, 0x8c4254a4,
+0x50620004, 0x2463ffff, 0x3c010001, 0xac2354a4,
+0x2463ffff, 0x2c620009, 0x1040009d, 0x31080,
+0x3c010001, 0x220821, 0x8c2252e8, 0x400008,
+0x0, 0x8f820044, 0x34428080, 0xaf820044,
+0x8f830054, 0x800489a, 0x24020002, 0x8f830054,
+0x3c020001, 0x8c425544, 0x2463d8f0, 0x431023,
+0x2c422710, 0x1440008a, 0x24020003, 0x80048a7,
+0x0, 0x8f820044, 0x3c03ffff, 0x34637fff,
+0x431024, 0xaf820044, 0x8f830054, 0x800489a,
+0x24020004, 0x8f830054, 0x3c020001, 0x8c425544,
+0x2463fff6, 0x431023, 0x2c42000a, 0x14400078,
+0x24020005, 0x80048a7, 0x0, 0x8f820220,
+0x3c03f700, 0x431025, 0xaf820220, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x34420002, 0xaf820220, 0x3c023f00, 0x344200e0,
+0xaf820200, 0x8f820200, 0x2403fffd, 0x431024,
+0xaf820200, 0x24040001, 0x3405ffff, 0xaf840204,
+0x8f830054, 0x8f820054, 0x800484e, 0x24630001,
+0x8f820054, 0x621023, 0x2c420002, 0x1440fffc,
+0x0, 0x8f820224, 0x42040, 0xa4102b,
+0x1040fff2, 0x0, 0x8f820220, 0x3c03f700,
+0x431025, 0xaf820220, 0x8f820214, 0x3c03ffff,
+0x431024, 0x3442251f, 0xaf820214, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x3c04f700, 0x34840008, 0x34420002, 0xaf820220,
+0x8f820220, 0x3c033f00, 0x346300e2, 0x441025,
+0xaf820220, 0xaf830200, 0x8f8400f0, 0x276217f8,
+0x14820002, 0x24850008, 0x27651000, 0x8f8200f4,
+0x10a20007, 0x3c038000, 0x34630040, 0x3c020001,
+0x24425430, 0xac820000, 0xac830004, 0xaf8500f0,
+0x8f830054, 0x800489a, 0x24020006, 0x8f830054,
+0x3c020001, 0x8c425544, 0x2463fff6, 0x431023,
+0x2c42000a, 0x14400022, 0x24020007, 0x80048a7,
+0x0, 0x8f8200e0, 0xaf8200e4, 0x8f8200e0,
+0xaf8200e8, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820220, 0x2403fff7, 0x431024, 0xaf820220,
+0x8f820044, 0x34428080, 0xaf820044, 0x8f830054,
+0x24020008, 0x3c010001, 0xac2254a0, 0x3c010001,
+0x80048a9, 0xac235544, 0x8f830054, 0x3c020001,
+0x8c425544, 0x2463d8f0, 0x431023, 0x2c422710,
+0x14400003, 0x24020009, 0x3c010001, 0xac2254a0,
+0x3e00008, 0x0, 0x0, 0x27bdffd8,
+0xafb20018, 0x809021, 0xafb3001c, 0xa09821,
+0xafb10014, 0xc08821, 0xafb00010, 0x8021,
+0xafbf0020, 0xa6200000, 0xc004cab, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004cab, 0x2021, 0xc004cab, 0x24040001,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x24100010, 0x2501024, 0x10400002, 0x2021,
+0x24040001, 0xc004cab, 0x108042, 0x1600fffa,
+0x2501024, 0x24100010, 0x2701024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x2701024, 0xc004cd1, 0x34108000,
+0xc004cd1, 0x0, 0xc004c8b, 0x0,
+0x50400005, 0x108042, 0x96220000, 0x501025,
+0xa6220000, 0x108042, 0x1600fff7, 0x0,
+0xc004cd1, 0x0, 0x8fbf0020, 0x8fb3001c,
+0x8fb20018, 0x8fb10014, 0x8fb00010, 0x3e00008,
+0x27bd0028, 0x27bdffd8, 0xafb10014, 0x808821,
+0xafb20018, 0xa09021, 0xafb3001c, 0xc09821,
+0xafb00010, 0x8021, 0xafbf0020, 0xc004cab,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0x24100010, 0x2301024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x2301024, 0x24100010, 0x2501024,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x2501024, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0x34108000,
+0x96620000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004cab, 0x108042, 0x1600fff8,
+0x0, 0xc004cd1, 0x0, 0x8fbf0020,
+0x8fb3001c, 0x8fb20018, 0x8fb10014, 0x8fb00010,
+0x3e00008, 0x27bd0028, 0x3c030001, 0x8c6354b0,
+0x3c020001, 0x8c4254f4, 0x27bdffd8, 0xafbf0020,
+0xafb1001c, 0x10620003, 0xafb00018, 0x3c010001,
+0xac2354f4, 0x2463ffff, 0x2c620013, 0x10400349,
+0x31080, 0x3c010001, 0x220821, 0x8c225310,
+0x400008, 0x0, 0xc004cd1, 0x8021,
+0x34028000, 0xa7a20010, 0x27b10010, 0xc004cab,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0xc004cab,
+0x2021, 0x108042, 0x1600fffc, 0x0,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8004c84, 0x24020002, 0x27b10010, 0xa7a00010,
+0x8021, 0xc004cab, 0x24040001, 0x26100001,
+0x2e020020, 0x1440fffb, 0x0, 0xc004cab,
+0x2021, 0xc004cab, 0x24040001, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0x24100010,
+0x32020001, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020001,
+0x24100010, 0xc004cab, 0x2021, 0x108042,
+0x1600fffc, 0x0, 0xc004cd1, 0x34108000,
+0xc004cd1, 0x0, 0xc004c8b, 0x0,
+0x50400005, 0x108042, 0x96220000, 0x501025,
+0xa6220000, 0x108042, 0x1600fff7, 0x0,
+0xc004cd1, 0x0, 0x97a20010, 0x30428000,
+0x144002dc, 0x24020003, 0x8004c84, 0x0,
+0x24021200, 0xa7a20010, 0x27b10010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0xc004cab, 0x2021, 0x108042, 0x1600fffc,
+0x0, 0xc004cab, 0x24040001, 0xc004cab,
+0x2021, 0x34108000, 0x96220000, 0x501024,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fff8, 0x0, 0xc004cd1,
+0x0, 0x8f830054, 0x8004c76, 0x24020004,
+0x8f830054, 0x3c020001, 0x8c42554c, 0x2463ff9c,
+0x431023, 0x2c420064, 0x1440029e, 0x24020002,
+0x3c030001, 0x8c635550, 0x10620297, 0x2c620003,
+0x14400296, 0x24020011, 0x24020003, 0x10620005,
+0x24020004, 0x10620291, 0x2402000f, 0x8004c84,
+0x24020011, 0x8004c84, 0x24020005, 0x24020014,
+0xa7a20010, 0x27b10010, 0x8021, 0xc004cab,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020012,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020012, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004cab, 0x108042, 0x1600fff8,
+0x0, 0xc004cd1, 0x0, 0x8f830054,
+0x8004c76, 0x24020006, 0x8f830054, 0x3c020001,
+0x8c42554c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400250, 0x24020007, 0x8004c84, 0x0,
+0x24020006, 0xa7a20010, 0x27b10010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020013, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020013,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x24020008, 0x8f830054,
+0x3c020001, 0x8c42554c, 0x2463ff9c, 0x431023,
+0x2c420064, 0x1440020f, 0x24020009, 0x8004c84,
+0x0, 0x27b10010, 0xa7a00010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x24040001,
+0xc004cab, 0x2021, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020018, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020018,
+0xc004cd1, 0x34108000, 0xc004cd1, 0x0,
+0xc004c8b, 0x0, 0x50400005, 0x108042,
+0x96220000, 0x501025, 0xa6220000, 0x108042,
+0x1600fff7, 0x0, 0xc004cd1, 0x8021,
+0x97a20010, 0x27b10010, 0x34420001, 0xa7a20010,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020018, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020018,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x2402000a, 0x8f830054,
+0x3c020001, 0x8c42554c, 0x2463ff9c, 0x431023,
+0x2c420064, 0x1440019b, 0x2402000b, 0x8004c84,
+0x0, 0x27b10010, 0xa7a00010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x24040001,
+0xc004cab, 0x2021, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020017, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020017,
+0xc004cd1, 0x34108000, 0xc004cd1, 0x0,
+0xc004c8b, 0x0, 0x50400005, 0x108042,
+0x96220000, 0x501025, 0xa6220000, 0x108042,
+0x1600fff7, 0x0, 0xc004cd1, 0x8021,
+0x97a20010, 0x27b10010, 0x34420700, 0xa7a20010,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020017, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020017,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x2402000c, 0x8f830054,
+0x3c020001, 0x8c42554c, 0x2463ff9c, 0x431023,
+0x2c420064, 0x14400127, 0x24020012, 0x8004c84,
+0x0, 0x27b10010, 0xa7a00010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x24040001,
+0xc004cab, 0x2021, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020014, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020014,
+0xc004cd1, 0x34108000, 0xc004cd1, 0x0,
+0xc004c8b, 0x0, 0x50400005, 0x108042,
+0x96220000, 0x501025, 0xa6220000, 0x108042,
+0x1600fff7, 0x0, 0xc004cd1, 0x8021,
+0x97a20010, 0x27b10010, 0x34420010, 0xa7a20010,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020014, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020014,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x24020013, 0x8f830054,
+0x3c020001, 0x8c42554c, 0x2463ff9c, 0x431023,
+0x2c420064, 0x144000b3, 0x2402000d, 0x8004c84,
+0x0, 0x27b10010, 0xa7a00010, 0x8021,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x24040001,
+0xc004cab, 0x2021, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020018, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020018,
+0xc004cd1, 0x34108000, 0xc004cd1, 0x0,
+0xc004c8b, 0x0, 0x50400005, 0x108042,
+0x96220000, 0x501025, 0xa6220000, 0x108042,
+0x1600fff7, 0x0, 0xc004cd1, 0x8021,
+0x97a20010, 0x27b10010, 0x3042fffe, 0xa7a20010,
+0xc004cab, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0xc004cab, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0x32020018, 0x10400002, 0x2021, 0x24040001,
+0xc004cab, 0x108042, 0x1600fffa, 0x32020018,
+0xc004cab, 0x24040001, 0xc004cab, 0x2021,
+0x34108000, 0x96220000, 0x501024, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fff8, 0x0, 0xc004cd1, 0x0,
+0x8f830054, 0x8004c76, 0x2402000e, 0x24020840,
+0xa7a20010, 0x27b10010, 0x8021, 0xc004cab,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0xc004cab,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004cab, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020013,
+0x10400002, 0x2021, 0x24040001, 0xc004cab,
+0x108042, 0x1600fffa, 0x32020013, 0xc004cab,
+0x24040001, 0xc004cab, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004cab, 0x108042, 0x1600fff8,
+0x0, 0xc004cd1, 0x0, 0x8f830054,
+0x24020010, 0x3c010001, 0xac2254b0, 0x3c010001,
+0x8004c86, 0xac23554c, 0x8f830054, 0x3c020001,
+0x8c42554c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400004, 0x0, 0x24020011, 0x3c010001,
+0xac2254b0, 0x8fbf0020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0028, 0x8f850044, 0x8f820044,
+0x3c030001, 0x431025, 0x3c030008, 0xaf820044,
+0x8f840054, 0x8f820054, 0xa32824, 0x8004c97,
+0x24840001, 0x8f820054, 0x821023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820044, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820044, 0x8f830054,
+0x8f820054, 0x8004ca5, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x3e00008, 0xa01021, 0x8f830044, 0x3c02fff0,
+0x3442ffff, 0x42480, 0x621824, 0x3c020002,
+0x822025, 0x641825, 0xaf830044, 0x8f820044,
+0x3c030001, 0x431025, 0xaf820044, 0x8f830054,
+0x8f820054, 0x8004cbd, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820044, 0x3c03fffe, 0x3463ffff, 0x431024,
+0xaf820044, 0x8f830054, 0x8f820054, 0x8004ccb,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x3e00008, 0x0,
+0x8f820044, 0x3c03fff0, 0x3463ffff, 0x431024,
+0xaf820044, 0x8f820044, 0x3c030001, 0x431025,
+0xaf820044, 0x8f830054, 0x8f820054, 0x8004cdf,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820044, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820044, 0x8f830054,
+0x8f820054, 0x8004ced, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x3e00008, 0x0, 0x0, 0x27bdffe8,
+0xafbf0010, 0x3c030001, 0x771821, 0x8c6383ac,
+0x24020008, 0x1462022c, 0x803021, 0x3c020001,
+0x8c425538, 0x14400033, 0x0, 0x8f850224,
+0x38a30020, 0x2c630001, 0x38a20010, 0x2c420001,
+0x621825, 0x1460000d, 0x38a30030, 0x2c630001,
+0x38a20400, 0x2c420001, 0x621825, 0x14600007,
+0x38a30402, 0x2c630001, 0x38a20404, 0x2c420001,
+0x621825, 0x10600005, 0x0, 0xc00429f,
+0x0, 0x8004d2d, 0x2402000e, 0xc0043e1,
+0x0, 0x3c050001, 0x8ca55488, 0xc0050b3,
+0x2021, 0x3c030001, 0x8c635488, 0x24020004,
+0x14620005, 0x2403fffb, 0x3c020001, 0x8c425484,
+0x8004d29, 0x2403fff7, 0x3c020001, 0x8c425484,
+0x431024, 0x3c010001, 0xac225484, 0x2402000e,
+0x3c010001, 0xc00429f, 0xac227560, 0x8004f27,
+0x0, 0x8f820220, 0x3c030400, 0x431024,
+0x10400027, 0x2403ffbf, 0x8f850224, 0x3c020001,
+0x8c42756c, 0xa32024, 0x431024, 0x1482000c,
+0x0, 0x3c020001, 0x8c427570, 0x24420001,
+0x3c010001, 0xac227570, 0x2c420002, 0x14400008,
+0x24020001, 0x3c010001, 0x8004d4d, 0xac227590,
+0x3c010001, 0xac207570, 0x3c010001, 0xac207590,
+0x3c020001, 0x8c427590, 0x10400006, 0x30a20040,
+0x10400004, 0x24020001, 0x3c010001, 0x8004d58,
+0xac227594, 0x3c010001, 0xac207594, 0x3c010001,
+0xac25756c, 0x3c010001, 0x8004d68, 0xac2075a0,
+0x24020001, 0x3c010001, 0xac2275a0, 0x3c010001,
+0xac207590, 0x3c010001, 0xac207570, 0x3c010001,
+0xac207594, 0x3c010001, 0xac20756c, 0x3c030001,
+0x8c637560, 0x3c020001, 0x8c427564, 0x10620003,
+0x3c020200, 0x3c010001, 0xac237564, 0xc21024,
+0x10400007, 0x2463ffff, 0x8f820220, 0x24030001,
+0x3c010001, 0xac23548c, 0x8004f25, 0x3c03f700,
+0x2c62000e, 0x104001a8, 0x31080, 0x3c010001,
+0x220821, 0x8c225360, 0x400008, 0x0,
+0x3c010001, 0xac207590, 0x3c010001, 0xac207570,
+0x3c010001, 0xac20756c, 0x3c010001, 0xac207594,
+0x3c010001, 0xac207588, 0x3c010001, 0xac207580,
+0xc0047cc, 0xaf800224, 0x24020002, 0x3c010001,
+0xac227560, 0x3c020001, 0x8c4275a0, 0x14400056,
+0x3c03fdff, 0x8ee20000, 0x3463ffff, 0x431024,
+0xc00429f, 0xaee20000, 0xaf800204, 0x8f820200,
+0x2403fffd, 0x431024, 0xaf820200, 0x3c010001,
+0xac2075b0, 0x8f830054, 0x3c020001, 0x8c427588,
+0x24040001, 0x3c010001, 0xac24759c, 0x24420001,
+0x3c010001, 0xac227588, 0x2c420004, 0x3c010001,
+0xac237584, 0x14400006, 0x24020003, 0x3c010001,
+0xac24548c, 0x3c010001, 0x8004f23, 0xac207588,
+0x3c010001, 0x8004f23, 0xac227560, 0x8f830054,
+0x3c020001, 0x8c427584, 0x2463d8f0, 0x431023,
+0x2c422710, 0x14400003, 0x24020004, 0x3c010001,
+0xac227560, 0x3c020001, 0x8c4275a0, 0x14400026,
+0x3c03fdff, 0x8ee20000, 0x3463ffff, 0x431024,
+0x8004f23, 0xaee20000, 0x3c040001, 0x8c84553c,
+0x3c010001, 0xc004f2a, 0xac207578, 0x3c020001,
+0x8c4275ac, 0xaf820204, 0x3c020001, 0x8c4275a0,
+0x14400015, 0x3c03fdff, 0x8ee20000, 0x3463ffff,
+0x431024, 0xaee20000, 0x8f820204, 0x30420030,
+0x1440013c, 0x24020002, 0x3c030001, 0x8c6375ac,
+0x24020005, 0x3c010001, 0xac227560, 0x3c010001,
+0x8004f23, 0xac2375b0, 0x3c020001, 0x8c4275a0,
+0x10400010, 0x3c03fdff, 0x3c020001, 0x8c42550c,
+0x24420001, 0x3c010001, 0xac22550c, 0x2c420002,
+0x14400131, 0x24020001, 0x3c010001, 0xac225514,
+0x3c010001, 0xac20550c, 0x3c010001, 0x8004f23,
+0xac22548c, 0x8ee20000, 0x3463ffff, 0x431024,
+0xaee20000, 0x3c020001, 0x8c427590, 0x10400122,
+0x0, 0x3c020001, 0x8c42756c, 0x1040011e,
+0x0, 0x3c010001, 0xac227598, 0x24020003,
+0x3c010001, 0xac227570, 0x8004ec4, 0x24020006,
+0x3c010001, 0xac207578, 0x8f820204, 0x34420040,
+0xaf820204, 0x3c020001, 0x8c4275b0, 0x24030007,
+0x3c010001, 0xac237560, 0x34420040, 0x3c010001,
+0xac2275b0, 0x3c020001, 0x8c427590, 0x10400005,
+0x0, 0x3c020001, 0x8c42756c, 0x104000f9,
+0x24020002, 0x3c050001, 0x24a57570, 0x8ca20000,
+0x2c424e21, 0x104000f3, 0x24020002, 0x3c020001,
+0x8c427594, 0x104000f8, 0x2404ffbf, 0x3c020001,
+0x8c42756c, 0x3c030001, 0x8c637598, 0x441024,
+0x641824, 0x10430004, 0x24020001, 0x3c010001,
+0x8004f23, 0xac227560, 0x24020003, 0xaca20000,
+0x24020008, 0x3c010001, 0xac227560, 0x3c020001,
+0x8c42759c, 0x1040000c, 0x24020001, 0x3c040001,
+0xc004f37, 0x8c84756c, 0x3c020001, 0x8c4275b8,
+0x14400005, 0x24020001, 0x3c020001, 0x8c4275b4,
+0x10400006, 0x24020001, 0x3c010001, 0xac22548c,
+0x3c010001, 0x8004f23, 0xac207588, 0x3c020001,
+0x8c427580, 0x3c030001, 0x8c63756c, 0x2c420001,
+0x210c0, 0x30630008, 0x3c010001, 0xac227580,
+0x3c010001, 0xac23757c, 0x8f830054, 0x24020009,
+0x3c010001, 0xac227560, 0x3c010001, 0x8004f23,
+0xac237584, 0x8f830054, 0x3c020001, 0x8c427584,
+0x2463d8f0, 0x431023, 0x2c422710, 0x144000a8,
+0x0, 0x3c020001, 0x8c427590, 0x10400005,
+0x0, 0x3c020001, 0x8c42756c, 0x104000a9,
+0x24020002, 0x3c030001, 0x24637570, 0x8c620000,
+0x2c424e21, 0x104000a3, 0x24020002, 0x3c020001,
+0x8c42759c, 0x1040000e, 0x0, 0x3c020001,
+0x8c42756c, 0x3c010001, 0xac20759c, 0x30420080,
+0x1040002f, 0x2402000c, 0x8f820204, 0x30420080,
+0x1440000c, 0x24020003, 0x8004eb1, 0x2402000c,
+0x3c020001, 0x8c42756c, 0x30420080, 0x14400005,
+0x24020003, 0x8f820204, 0x30420080, 0x1040001f,
+0x24020003, 0xac620000, 0x2402000a, 0x3c010001,
+0xac227560, 0x3c040001, 0x248475a8, 0x8c820000,
+0x3c030001, 0x8c637580, 0x431025, 0xaf820204,
+0x8c830000, 0x3c040001, 0x8c847580, 0x2402000b,
+0x3c010001, 0xac227560, 0x641825, 0x3c010001,
+0xac2375b0, 0x3c050001, 0x24a57570, 0x8ca20000,
+0x2c424e21, 0x1040006f, 0x24020002, 0x3c020001,
+0x8c4275a0, 0x10400005, 0x0, 0x2402000c,
+0x3c010001, 0x8004f23, 0xac227560, 0x3c020001,
+0x8c427590, 0x1040006c, 0x0, 0x3c040001,
+0x8c84756c, 0x1080005e, 0x30820008, 0x3c030001,
+0x8c63757c, 0x10620064, 0x24020003, 0x3c010001,
+0xac247598, 0xaca20000, 0x24020006, 0x3c010001,
+0x8004f23, 0xac227560, 0x8f820200, 0x34420002,
+0xaf820200, 0x8f830054, 0x2402000d, 0x3c010001,
+0xac227560, 0x3c010001, 0xac237584, 0x8f830054,
+0x3c020001, 0x8c427584, 0x2463d8f0, 0x431023,
+0x2c422710, 0x1440003a, 0x0, 0x3c020001,
+0x8c4275a0, 0x10400029, 0x2402000e, 0x3c030001,
+0x8c6375b4, 0x3c010001, 0x14600015, 0xac227560,
+0xc0043e1, 0x0, 0x3c050001, 0x8ca55488,
+0xc0050b3, 0x2021, 0x3c030001, 0x8c635488,
+0x24020004, 0x14620005, 0x2403fffb, 0x3c020001,
+0x8c425484, 0x8004ef2, 0x2403fff7, 0x3c020001,
+0x8c425484, 0x431024, 0x3c010001, 0xac225484,
+0x8ee20000, 0x3c030200, 0x431025, 0xaee20000,
+0x8f820224, 0x3c010001, 0xac2275bc, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x34420002, 0x8004f23, 0xaf820220, 0x3c020001,
+0x8c427590, 0x10400005, 0x0, 0x3c020001,
+0x8c42756c, 0x1040000f, 0x24020002, 0x3c020001,
+0x8c427570, 0x2c424e21, 0x1040000a, 0x24020002,
+0x3c020001, 0x8c427590, 0x1040000f, 0x0,
+0x3c020001, 0x8c42756c, 0x1440000b, 0x0,
+0x24020002, 0x3c010001, 0x8004f23, 0xac227560,
+0x3c020001, 0x8c427590, 0x10400003, 0x0,
+0xc00429f, 0x0, 0x8f820220, 0x3c03f700,
+0x431025, 0xaf820220, 0x8fbf0010, 0x3e00008,
+0x27bd0018, 0x3c030001, 0x246375b8, 0x8c620000,
+0x10400005, 0x34422000, 0x3c010001, 0xac2275ac,
+0x8004f35, 0xac600000, 0x3c010001, 0xac2475ac,
+0x3e00008, 0x0, 0x27bdffe0, 0x30820030,
+0xafbf0018, 0x3c010001, 0xac2275b4, 0x14400067,
+0x3c02ffff, 0x34421f0e, 0x821024, 0x14400061,
+0x24020030, 0x30822000, 0x1040005d, 0x30838000,
+0x31a02, 0x30820001, 0x21200, 0x3c040001,
+0x8c84553c, 0x621825, 0x331c2, 0x3c030001,
+0x24635518, 0x30828000, 0x21202, 0x30840001,
+0x42200, 0x441025, 0x239c2, 0x61080,
+0x431021, 0x471021, 0x90430000, 0x24020001,
+0x10620025, 0x0, 0x10600007, 0x24020002,
+0x10620013, 0x24020003, 0x1062002c, 0x3c05000f,
+0x8004f99, 0x0, 0x8f820200, 0x2403feff,
+0x431024, 0xaf820200, 0x8f820220, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820220, 0x3c010001,
+0xac2075d4, 0x3c010001, 0x8004fa4, 0xac2075dc,
+0x8f820200, 0x34420100, 0xaf820200, 0x8f820220,
+0x3c03fffe, 0x3463ffff, 0x431024, 0xaf820220,
+0x24020100, 0x3c010001, 0xac2275d4, 0x3c010001,
+0x8004fa4, 0xac2075dc, 0x8f820200, 0x2403feff,
+0x431024, 0xaf820200, 0x8f820220, 0x3c030001,
+0x431025, 0xaf820220, 0x3c010001, 0xac2075d4,
+0x3c010001, 0x8004fa4, 0xac2375dc, 0x8f820200,
+0x34420100, 0xaf820200, 0x8f820220, 0x3c030001,
+0x431025, 0xaf820220, 0x24020100, 0x3c010001,
+0xac2275d4, 0x3c010001, 0x8004fa4, 0xac2375dc,
+0x34a5ffff, 0x3c040001, 0x24845398, 0xafa30010,
+0xc002407, 0xafa00014, 0x8004fa4, 0x0,
+0x24020030, 0x3c010001, 0xac2275b8, 0x8fbf0018,
+0x3e00008, 0x27bd0020, 0x0, 0x27bdffc8,
+0xafb10024, 0x808821, 0xafb3002c, 0xa09821,
+0xafb00020, 0xc08021, 0x3c040001, 0x248453b0,
+0x3c050009, 0x3c020001, 0x8c425488, 0x34a59001,
+0x2203021, 0x2603821, 0xafbf0030, 0xafb20028,
+0xa7a0001a, 0xafb00014, 0xc002407, 0xafa20010,
+0x24020002, 0x126200ed, 0x2e620003, 0x10400005,
+0x24020001, 0x1262000a, 0x3c02fffb, 0x80050ac,
+0x0, 0x24020004, 0x1262006d, 0x24020008,
+0x1262006c, 0x3c02ffec, 0x80050ac, 0x0,
+0x3442ffff, 0x2028024, 0x119140, 0x3c010001,
+0x320821, 0xac3075cc, 0x3c024000, 0x2021024,
+0x10400046, 0x1023c2, 0x30840030, 0x101382,
+0x3042000c, 0x3c030001, 0x246354b4, 0x431021,
+0x823821, 0x3c020020, 0x2021024, 0x10400006,
+0x24020100, 0x3c010001, 0x320821, 0xac2275d0,
+0x8004feb, 0x3c020080, 0x3c010001, 0x320821,
+0xac2075d0, 0x3c020080, 0x2021024, 0x10400006,
+0x111940, 0x3c020001, 0x3c010001, 0x230821,
+0x8004ff7, 0xac2275d8, 0x111140, 0x3c010001,
+0x220821, 0xac2075d8, 0x94e30000, 0x32024000,
+0x10400003, 0xa7a30018, 0x34624000, 0xa7a20018,
+0x24040001, 0x94e20002, 0x24050004, 0x24e60002,
+0x34420001, 0xc0048ee, 0xa4e20002, 0x24040001,
+0x2821, 0xc0048ee, 0x27a60018, 0x3c020001,
+0x8c425488, 0x24110001, 0x3c010001, 0xac315494,
+0x14530004, 0x32028000, 0xc00429f, 0x0,
+0x32028000, 0x10400099, 0x0, 0xc00429f,
+0x0, 0x24020002, 0x3c010001, 0xac31548c,
+0x3c010001, 0x80050ac, 0xac225488, 0x24040001,
+0x24050004, 0x27b0001a, 0xc0048ee, 0x2003021,
+0x24040001, 0x2821, 0xc0048ee, 0x2003021,
+0x3c020001, 0x521021, 0x8c4275c4, 0x3c040001,
+0x8c845488, 0x3c03bfff, 0x3463ffff, 0x3c010001,
+0xac335494, 0x431024, 0x3c010001, 0x320821,
+0x10930078, 0xac2275c4, 0x80050ac, 0x0,
+0x3c02ffec, 0x3442ffff, 0x2028024, 0x3c020008,
+0x2028025, 0x111140, 0x3c010001, 0x220821,
+0xac3075c8, 0x3c022000, 0x2021024, 0x10400009,
+0x0, 0x3c020001, 0x8c425514, 0x14400005,
+0x24020001, 0x3c010001, 0xac225538, 0x800504d,
+0x3c024000, 0x3c010001, 0xac205538, 0x3c024000,
+0x2021024, 0x1440001c, 0x0, 0x3c020001,
+0x8c425538, 0x10400007, 0x24022020, 0x3c010001,
+0xac22553c, 0x24020001, 0x3c010001, 0x370821,
+0xac2283ac, 0x3c04bfff, 0x111940, 0x3c020001,
+0x431021, 0x8c4275c0, 0x3c050001, 0x8ca55488,
+0x3484ffff, 0x441024, 0x3c010001, 0x230821,
+0xac2275c0, 0x24020001, 0x10a20044, 0x0,
+0x80050aa, 0x0, 0x3c020001, 0x8c425538,
+0x1040001c, 0x24022000, 0x3c010001, 0xac22553c,
+0x3c0300a0, 0x2031024, 0x14430005, 0x111140,
+0x3402a000, 0x3c010001, 0x80050a5, 0xac22553c,
+0x3c030001, 0x621821, 0x8c6375c8, 0x3c020020,
+0x621024, 0x10400004, 0x24022001, 0x3c010001,
+0x80050a5, 0xac22553c, 0x3c020080, 0x621024,
+0x1040001f, 0x3402a001, 0x3c010001, 0x80050a5,
+0xac22553c, 0x3c020020, 0x2021024, 0x10400007,
+0x111940, 0x24020100, 0x3c010001, 0x230821,
+0xac2275d4, 0x8005099, 0x3c020080, 0x111140,
+0x3c010001, 0x220821, 0xac2075d4, 0x3c020080,
+0x2021024, 0x10400006, 0x111940, 0x3c020001,
+0x3c010001, 0x230821, 0x80050a5, 0xac2275dc,
+0x111140, 0x3c010001, 0x220821, 0xac2075dc,
+0x3c030001, 0x8c635488, 0x24020001, 0x10620003,
+0x0, 0xc00429f, 0x0, 0x8fbf0030,
+0x8fb3002c, 0x8fb20028, 0x8fb10024, 0x8fb00020,
+0x3e00008, 0x27bd0038, 0x27bdffd0, 0xafb40028,
+0x80a021, 0xafb20020, 0x9021, 0xafb30024,
+0x9821, 0xafb1001c, 0x8821, 0x24020002,
+0xafbf002c, 0xafb00018, 0xa7a00012, 0x10a20068,
+0xa7a00010, 0x2ca20003, 0x10400005, 0x24020001,
+0x10a2000a, 0x148140, 0x8005176, 0x2201021,
+0x24020004, 0x10a2005e, 0x24020008, 0x10a2005d,
+0x142940, 0x8005176, 0x2201021, 0x3c030001,
+0x701821, 0x8c6375cc, 0x3c024000, 0x621024,
+0x14400009, 0x24040001, 0x3c027fff, 0x3442ffff,
+0x628824, 0x3c010001, 0x300821, 0xac3175c4,
+0x8005176, 0x2201021, 0x24050001, 0xc0048ac,
+0x27a60010, 0x24040001, 0x24050001, 0xc0048ac,
+0x27a60010, 0x97a20010, 0x30420004, 0x10400034,
+0x3c114000, 0x3c030001, 0x8c635550, 0x24020003,
+0x10620008, 0x2c620004, 0x14400029, 0x3c028000,
+0x24020004, 0x10620014, 0x24040001, 0x8005119,
+0x3c028000, 0x24040001, 0x24050011, 0x27b00012,
+0xc0048ac, 0x2003021, 0x24040001, 0x24050011,
+0xc0048ac, 0x2003021, 0x97a30012, 0x30624000,
+0x10400002, 0x3c130010, 0x3c130008, 0x3c120001,
+0x8005116, 0x30628000, 0x24050014, 0x27b00012,
+0xc0048ac, 0x2003021, 0x24040001, 0x24050014,
+0xc0048ac, 0x2003021, 0x97a30012, 0x30621000,
+0x10400002, 0x3c130010, 0x3c130008, 0x3c120001,
+0x30620800, 0x54400001, 0x3c120002, 0x3c028000,
+0x2221025, 0x2531825, 0x8005123, 0x438825,
+0x3c110001, 0x2308821, 0x8e3175cc, 0x3c027fff,
+0x3442ffff, 0x2228824, 0x141140, 0x3c010001,
+0x220821, 0xac3175c4, 0x8005176, 0x2201021,
+0x142940, 0x3c030001, 0x651821, 0x8c6375c8,
+0x3c024000, 0x621024, 0x14400008, 0x3c027fff,
+0x3442ffff, 0x628824, 0x3c010001, 0x250821,
+0xac3175c0, 0x8005176, 0x2201021, 0x3c020001,
+0x8c425498, 0x10400033, 0x3c11c00c, 0x3c020001,
+0x8c425514, 0x3c04c00c, 0x34842000, 0x3c030001,
+0x8c635538, 0x2102b, 0x21023, 0x441024,
+0x10600003, 0x518825, 0x3c022000, 0x2228825,
+0x3c020001, 0x451021, 0x8c4275d4, 0x10400003,
+0x3c020020, 0x8005153, 0x2228825, 0x3c02ffdf,
+0x3442ffff, 0x2228824, 0x141140, 0x3c010001,
+0x220821, 0x8c2275dc, 0x10400003, 0x3c020080,
+0x800515e, 0x2228825, 0x3c02ff7f, 0x3442ffff,
+0x2228824, 0x3c020001, 0x8c425500, 0x10400002,
+0x3c020800, 0x2228825, 0x3c020001, 0x8c425504,
+0x10400002, 0x3c020400, 0x2228825, 0x3c020001,
+0x8c425508, 0x10400006, 0x3c020100, 0x8005171,
+0x2228825, 0x3c027fff, 0x3442ffff, 0x628824,
+0x141140, 0x3c010001, 0x220821, 0xac3175c0,
+0x2201021, 0x8fbf002c, 0x8fb40028, 0x8fb30024,
+0x8fb20020, 0x8fb1001c, 0x8fb00018, 0x3e00008,
+0x27bd0030, 0x27bdffd8, 0xafb40020, 0x80a021,
+0xafbf0024, 0xafb3001c, 0xafb20018, 0xafb10014,
+0xafb00010, 0x8f900200, 0x3c030001, 0x8c635488,
+0x8f930220, 0x24020002, 0x106200b4, 0x2c620003,
+0x10400005, 0x24020001, 0x1062000a, 0x141940,
+0x8005240, 0x0, 0x24020004, 0x1062005a,
+0x24020008, 0x10620059, 0x149140, 0x8005240,
+0x0, 0x3c040001, 0x832021, 0x8c8475cc,
+0x3c110001, 0x2238821, 0x8e3175c4, 0x3c024000,
+0x821024, 0x1040003e, 0x3c020008, 0x2221024,
+0x10400020, 0x36100002, 0x3c020001, 0x431021,
+0x8c4275d0, 0x10400005, 0x36100020, 0x36100100,
+0x3c020020, 0x80051b5, 0x2228825, 0x2402feff,
+0x2028024, 0x3c02ffdf, 0x3442ffff, 0x2228824,
+0x141140, 0x3c010001, 0x220821, 0x8c2275d8,
+0x10400005, 0x3c020001, 0x2629825, 0x3c020080,
+0x80051d4, 0x2228825, 0x3c02fffe, 0x3442ffff,
+0x2629824, 0x3c02ff7f, 0x3442ffff, 0x80051d4,
+0x2228824, 0x2402fedf, 0x2028024, 0x3c02fffe,
+0x3442ffff, 0x2629824, 0x3c02ff5f, 0x3442ffff,
+0x2228824, 0x3c010001, 0x230821, 0xac2075d0,
+0x3c010001, 0x230821, 0xac2075d8, 0xc0047cc,
+0x0, 0xaf900200, 0xaf930220, 0x8f820220,
+0x2403fffb, 0x431024, 0xaf820220, 0x8f820220,
+0x34420002, 0xaf820220, 0x80051eb, 0x141140,
+0x8f820200, 0x2403fffd, 0x431024, 0xc0047cc,
+0xaf820200, 0x3c02bfff, 0x3442ffff, 0xc00429f,
+0x2228824, 0x141140, 0x3c010001, 0x220821,
+0x8005240, 0xac3175c4, 0x149140, 0x3c040001,
+0x922021, 0x8c8475c8, 0x3c110001, 0x2328821,
+0x8e3175c0, 0x3c024000, 0x821024, 0x14400011,
+0x0, 0x3c020001, 0x8c425538, 0x14400006,
+0x3c02bfff, 0x8f820200, 0x34420002, 0xc0047cc,
+0xaf820200, 0x3c02bfff, 0x3442ffff, 0xc00429f,
+0x2228824, 0x3c010001, 0x320821, 0x8005240,
+0xac3175c0, 0x3c020001, 0x8c425538, 0x10400005,
+0x3c020020, 0x3c020001, 0x8c425514, 0x1040002b,
+0x3c020020, 0x821024, 0x10400007, 0x36100020,
+0x24020100, 0x3c010001, 0x320821, 0xac2275d4,
+0x8005220, 0x36100100, 0x3c010001, 0x320821,
+0xac2075d4, 0x2402feff, 0x2028024, 0x3c020080,
+0x821024, 0x10400007, 0x141940, 0x3c020001,
+0x3c010001, 0x230821, 0xac2275dc, 0x8005231,
+0x2629825, 0x141140, 0x3c010001, 0x220821,
+0xac2075dc, 0x3c02fffe, 0x3442ffff, 0x2629824,
+0xc0047cc, 0x0, 0xaf900200, 0xaf930220,
+0x8f820220, 0x2403fffb, 0x431024, 0xaf820220,
+0x8f820220, 0x34420002, 0xaf820220, 0x141140,
+0x3c010001, 0x220821, 0xac3175c0, 0x8fbf0024,
+0x8fb40020, 0x8fb3001c, 0x8fb20018, 0x8fb10014,
+0x8fb00010, 0x3e00008, 0x27bd0028, 0x0 };
+static u_int32_t tigonFwRodata[] = {
+0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f66776d, 0x61696e2e, 0x632c7620, 0x312e312e,
+0x322e3131, 0x20313939, 0x382f3034, 0x2f323720,
+0x32323a31, 0x333a3432, 0x20736875, 0x616e6720,
+0x45787020, 0x24000000, 0x7468655f, 0x4441574e,
+0x0, 0x53544143, 0x4b5f3120, 0x0,
+0x42616453, 0x6e64526e, 0x67000000, 0x3f456e71,
+0x45767400, 0x3f6e6f51, 0x64457650, 0x0,
+0x6576526e, 0x6746756c, 0x6c000000, 0x496c6c43,
+0x6f6e6652, 0x78000000, 0x53656e64, 0x436b5375,
+0x6d000000, 0x52656376, 0x566c616e, 0x0,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f74696d, 0x65722e63, 0x2c762031, 0x2e312e32,
+0x2e382031, 0x3939382f, 0x30372f33, 0x31203137,
+0x3a35383a, 0x34352073, 0x6875616e, 0x67204578,
+0x70202400, 0x542d446d, 0x61526431, 0x0,
+0x542d446d, 0x61424200, 0x542d446d, 0x61320000,
+0x3f6e6f51, 0x64547845, 0x0, 0x3f6e6f51,
+0x64527845, 0x0, 0x656e714d, 0x45765046,
+0x61696c00, 0x656e714d, 0x45764661, 0x696c0000,
+0x6661696c, 0x456e454d, 0x0, 0x3f456e71,
+0x45767400, 0x3f6e6f51, 0x64457650, 0x0,
+0x6576526e, 0x6746756c, 0x6c000000, 0x0,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f636f6d, 0x6d616e64, 0x2e632c76, 0x20312e31,
+0x2e322e31, 0x30203139, 0x39382f31, 0x312f3138,
+0x2031373a, 0x31313a31, 0x38207368, 0x75616e67,
+0x20457870, 0x20240000, 0x3f4d626f, 0x78457674,
+0x0, 0x4e4f636f, 0x6d616e64, 0x0,
+0x68737465, 0x5f455252, 0x0, 0x412d4572,
+0x72427563, 0x0, 0x4552524f, 0x522d4164,
+0x64000000, 0x656e714d, 0x45765046, 0x61696c00,
+0x656e714d, 0x45764661, 0x696c0000, 0x6661696c,
+0x456e454d, 0x0, 0x442d4572, 0x724c6173,
+0x74000000, 0x442d4572, 0x72320000, 0x6d437374,
+0x4d644552, 0x52000000, 0x70726f6d, 0x4d644552,
+0x52000000, 0x46696c74, 0x4d644552, 0x52000000,
+0x636d645f, 0x45525200, 0x3f456e71, 0x45767400,
+0x3f6e6f51, 0x64457650, 0x0, 0x6576526e,
+0x6746756c, 0x6c000000, 0x0, 0x6ea0,
+0x7fbc, 0x6e38, 0x8734, 0x82b0,
+0x8780, 0x8780, 0x6f54, 0x7694,
+0x7f0c, 0x80a8, 0x8074, 0x8780,
+0x7e70, 0x80cc, 0x6e64, 0x81cc,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f646d61, 0x2e632c76, 0x20312e31, 0x2e322e33,
+0x20313939, 0x382f3034, 0x2f323720, 0x32323a31,
+0x333a3431, 0x20736875, 0x616e6720, 0x45787020,
+0x24000000, 0x646d6172, 0x6441544e, 0x0,
+0x646d6177, 0x7241544e, 0x0, 0x0,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f747261, 0x63652e63, 0x2c762031, 0x2e312e32,
+0x2e322031, 0x3939382f, 0x30342f32, 0x37203232,
+0x3a31333a, 0x35302073, 0x6875616e, 0x67204578,
+0x70202400, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f646174, 0x612e632c, 0x7620312e, 0x312e322e,
+0x32203139, 0x39382f30, 0x342f3237, 0x2032323a,
+0x31333a34, 0x30207368, 0x75616e67, 0x20457870,
+0x20240000, 0x46575f56, 0x45525349, 0x4f4e3a20,
+0x23312057, 0x6564204d, 0x61722033, 0x31203130,
+0x3a31323a, 0x35322050, 0x53542031, 0x39393900,
+0x46575f43, 0x4f4d5049, 0x4c455f54, 0x494d453a,
+0x2031303a, 0x31323a35, 0x32000000, 0x46575f43,
+0x4f4d5049, 0x4c455f42, 0x593a2064, 0x65767263,
+0x73000000, 0x46575f43, 0x4f4d5049, 0x4c455f48,
+0x4f53543a, 0x20636f6d, 0x70757465, 0x0,
+0x46575f43, 0x4f4d5049, 0x4c455f44, 0x4f4d4149,
+0x4e3a2065, 0x6e672e61, 0x6374656f, 0x6e2e636f,
+0x6d000000, 0x46575f43, 0x4f4d5049, 0x4c45523a,
+0x20676363, 0x20766572, 0x73696f6e, 0x20322e37,
+0x2e320000, 0x0, 0x0, 0x0,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f6d656d, 0x2e632c76, 0x20312e31, 0x2e322e32,
+0x20313939, 0x382f3034, 0x2f323720, 0x32323a31,
+0x333a3434, 0x20736875, 0x616e6720, 0x45787020,
+0x24000000, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f73656e, 0x642e632c, 0x7620312e, 0x312e322e,
+0x31312031, 0x3939382f, 0x31322f32, 0x32203137,
+0x3a31373a, 0x35352073, 0x6875616e, 0x67204578,
+0x70202400, 0x736e6464, 0x654e6f51, 0x20000000,
+0x6e6f454e, 0x515f5458, 0x0, 0x736e6464,
+0x744e6f51, 0x20000000, 0x3f6e6f51, 0x64547845,
+0x0, 0x756e6b72, 0x64747970, 0x65000000,
+0x0, 0xacdc, 0xacdc, 0xadac,
+0xaac0, 0xaac0, 0xadac, 0xadac,
+0xadac, 0xadac, 0xadac, 0xadac,
+0xadac, 0xadac, 0xadac, 0xadac,
+0xadac, 0xadac, 0xadac, 0xad8c,
+0x0, 0xbcb8, 0xbcb8, 0xbd80,
+0xae5c, 0xb068, 0xbd80, 0xbd80,
+0xbd80, 0xbd80, 0xbd80, 0xbd80,
+0xbd80, 0xbd80, 0xbd80, 0xbd80,
+0xbd80, 0xbd80, 0xbd80, 0xbd64,
+0xb050, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f726563, 0x762e632c, 0x7620312e, 0x312e322e,
+0x31392031, 0x3939382f, 0x30372f32, 0x34203231,
+0x3a33303a, 0x30352073, 0x6875616e, 0x67204578,
+0x70202400, 0x706b5278, 0x45525200, 0x66726d32,
+0x4c617267, 0x65000000, 0x72784e6f, 0x52784264,
+0x0, 0x72785144, 0x6d614446, 0x0,
+0x72785144, 0x6d614246, 0x0, 0x3f6e6f51,
+0x64527845, 0x0, 0x706b5278, 0x45525273,
+0x0, 0x66726d32, 0x4c726753, 0x0,
+0x72784e6f, 0x42645300, 0x3f724264, 0x446d6146,
+0x0, 0x3f724a42, 0x64446d46, 0x0,
+0x0, 0xf688, 0xf688, 0xf688,
+0xf688, 0xf688, 0xf688, 0xf688,
+0xf688, 0xf688, 0xf688, 0xf688,
+0xf688, 0xf688, 0xf688, 0xf688,
+0xf680, 0xf680, 0xf680, 0x572d444d,
+0x41456e46, 0x0, 0x0, 0xfdd0,
+0x1016c, 0xfdec, 0x1016c, 0x1016c,
+0x1016c, 0x1016c, 0x1016c, 0x1016c,
+0xf714, 0x1016c, 0x1016c, 0x1016c,
+0x1016c, 0x1016c, 0x10164, 0x10164,
+0x10164, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f6d6163, 0x2e632c76, 0x20312e31, 0x2e322e31,
+0x32203139, 0x39382f30, 0x342f3237, 0x2032323a,
+0x31333a34, 0x32207368, 0x75616e67, 0x20457870,
+0x20240000, 0x6d616374, 0x7841544e, 0x0,
+0x4e745379, 0x6e264c6b, 0x0, 0x72656d61,
+0x73737274, 0x0, 0x6c696e6b, 0x444f574e,
+0x0, 0x656e714d, 0x45765046, 0x61696c00,
+0x656e714d, 0x45764661, 0x696c0000, 0x6661696c,
+0x456e454d, 0x0, 0x6c696e6b, 0x55500000,
+0x0, 0x24486561, 0x6465723a, 0x202f7072,
+0x6f6a6563, 0x74732f72, 0x63732f73, 0x772f6765,
+0x2f2e2f6e, 0x69632f66, 0x772f636f, 0x6d6d6f6e,
+0x2f636b73, 0x756d2e63, 0x2c762031, 0x2e312e32,
+0x2e322031, 0x3939382f, 0x30342f32, 0x37203232,
+0x3a31333a, 0x33392073, 0x6875616e, 0x67204578,
+0x70202400, 0x50726f62, 0x65506879, 0x0,
+0x6c6e6b41, 0x53535254, 0x0, 0x11994,
+0x119cc, 0x119e4, 0x11a18, 0x11a44,
+0x11a58, 0x11a94, 0x11e04, 0x11b6c,
+0x11bac, 0x11bd8, 0x11c18, 0x11c48,
+0x11c84, 0x11cb8, 0x11e04, 0x12048,
+0x12060, 0x12088, 0x120a8, 0x120d0,
+0x12200, 0x12228, 0x1227c, 0x122a4,
+0x0, 0x1250c, 0x125dc, 0x126b4,
+0x12784, 0x127e0, 0x128bc, 0x128e4,
+0x129c0, 0x129e8, 0x12b90, 0x12bb8,
+0x12d60, 0x12f58, 0x131ec, 0x13100,
+0x131ec, 0x13218, 0x12d88, 0x12f30,
+0x0, 0x13604, 0x13648, 0x136e0,
+0x1372c, 0x1379c, 0x13834, 0x13868,
+0x138f0, 0x13988, 0x13a58, 0x13a98,
+0x13b1c, 0x13b40, 0x13c74, 0x646f4261,
+0x73655067, 0x0, 0x0, 0x0,
+0x0, 0x73746d61, 0x634c4e4b, 0x0,
+0x0, 0x0 };
+static u_int32_t tigonFwData[] = {
+0x416c7465,
+0x6f6e2041, 0x63654e49, 0x43205600, 0x416c7465,
+0x6f6e2041, 0x63654e49, 0x43205600, 0x42424242,
+0x0, 0x0, 0x0, 0x135418,
+0x13e7fc, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x60cf00,
+0x60, 0xcf000000, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x3, 0x0,
+0x1, 0x0, 0x0, 0x1,
+0x0, 0x0, 0x0, 0x1,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x1000000, 0x21000000, 0x12000140,
+0x0, 0x0, 0x20000000, 0x120000a0,
+0x0, 0x12000060, 0x12000180, 0x120001e0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x2,
+0x0, 0x0, 0x30001, 0x1,
+0x30201, 0x0, 0x0, 0x0 };
diff --git a/sys/pci/ti_fw2.h b/sys/pci/ti_fw2.h
new file mode 100644
index 0000000..56a4c86
--- /dev/null
+++ b/sys/pci/ti_fw2.h
@@ -0,0 +1,4362 @@
+/*
+ * Generated by genfw.c
+ * Built on Thu Apr 1 21:46:46 1999 by wpaul@wormhole.ee.columbia.edu
+ * OS: FreeBSD 3.0-19981103-SNAP
+ *
+ * $Id: genfw.c,v 1.2 1999/03/18 17:16:43 wpaul Exp $
+ */
+static int tigon2FwReleaseMajor = 0xc;
+static int tigon2FwReleaseMinor = 0x3;
+static int tigon2FwReleaseFix = 0x9;
+static u_int32_t tigon2FwStartAddr = 0x00004000;
+static u_int32_t tigon2FwTextAddr = 0x00004000;
+static int tigon2FwTextLen = 0xfce0;
+static u_int32_t tigon2FwRodataAddr = 0x00013ce0;
+static int tigon2FwRodataLen = 0x10a0;
+static u_int32_t tigon2FwDataAddr = 0x00014f60;
+static int tigon2FwDataLen = 0x170;
+static u_int32_t tigon2FwSbssAddr = 0x000150d0;
+static int tigon2FwSbssLen = 0xbc;
+static u_int32_t tigon2FwBssAddr = 0x00015190;
+static int tigon2FwBssLen = 0x20c0;
+static u_int32_t tigon2FwText[] = {
+0x0,
+0x10000003, 0x0, 0xd, 0xd,
+0x3c1d0001, 0x8fbd4fb0, 0x3a0f021, 0x3c100000,
+0x26104000, 0xc001084, 0x0, 0xd,
+0x3c1d0001, 0x8fbd4fb4, 0x3a0f021, 0x3c100000,
+0x26104000, 0xc001971, 0x0, 0xd,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x2000008,
+0x0, 0x8001913, 0x3c0a0001, 0x8001913,
+0x3c0a0002, 0x8001913, 0x0, 0x8002ca6,
+0x0, 0x8002c34, 0x0, 0x8001913,
+0x3c0a0004, 0x8003d44, 0x0, 0x8001add,
+0x0, 0x80039f0, 0x0, 0x8003982,
+0x0, 0x8001913, 0x3c0a0006, 0x8003a73,
+0x3c0a0007, 0x8001913, 0x3c0a0008, 0x8001913,
+0x3c0a0009, 0x8003ae0, 0x0, 0x8002e9a,
+0x0, 0x8001913, 0x3c0a000b, 0x8001913,
+0x3c0a000c, 0x8001913, 0x3c0a000d, 0x80027e4,
+0x0, 0x800277a, 0x0, 0x8001913,
+0x3c0a000e, 0x8002030, 0x0, 0x80019f8,
+0x0, 0x8001a92, 0x0, 0x8003d84,
+0x0, 0x8003fd8, 0x0, 0x8001913,
+0x0, 0x80019a0, 0x0, 0x8001913,
+0x0, 0x8001913, 0x3c0a0013, 0x8001913,
+0x3c0a0014, 0x0, 0x0, 0x27bdffe0,
+0x3c1cc000, 0xafbf001c, 0xafb00018, 0x8f820140,
+0x24030003, 0xaf8300ec, 0x34420004, 0xc002b78,
+0xaf820140, 0x3c0100c0, 0xc0018f1, 0xac203ffc,
+0x403021, 0x3c020008, 0x3c010001, 0xac2650e8,
+0x10c2001f, 0x3c100010, 0x10d0001d, 0x24050100,
+0x3c040001, 0x24843d94, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x3c040001, 0x24843da0,
+0x24020235, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e73da8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x3c010001, 0xac3050e8, 0x431025, 0xaf820140,
+0x3c020003, 0x34422000, 0x3c010001, 0xac2250f8,
+0x24020008, 0x3c010001, 0xac225100, 0x2402001f,
+0x3c010001, 0xac225110, 0x24020016, 0x3c010001,
+0xac2250e4, 0x3c05fffe, 0x34a56f08, 0x3c020001,
+0x8c4250e8, 0x3c030001, 0x24637250, 0x3c040001,
+0x8c844f64, 0x431023, 0x14800002, 0x458021,
+0x2610fa48, 0x2402f000, 0x2028024, 0xc00187f,
+0x2002021, 0x2022823, 0x3c040020, 0x821823,
+0x651823, 0x247bb000, 0x3c03fffe, 0x3463bf08,
+0x363b821, 0x3c0600bf, 0x34c6f000, 0x3c070001,
+0x8ce74f60, 0x3c0300bf, 0x3463e000, 0x852023,
+0x3c010001, 0xac2450f4, 0x822023, 0x3c010001,
+0xac2250d0, 0x27620ffc, 0x3c010001, 0xac224fb0,
+0x27621ffc, 0xdb3023, 0x7b1823, 0x3c010001,
+0xac2550dc, 0x3c010001, 0xac2450d4, 0x3c010001,
+0xac224fb4, 0xaf860150, 0xaf830250, 0x10e00026,
+0x33620fff, 0x10400014, 0x24020264, 0x3c040001,
+0x24843da0, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e73da8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x3c1d0001, 0x8fbd4f6c,
+0x3a0f021, 0xc0018a9, 0x0, 0x3c020001,
+0x8c424f70, 0x3c030001, 0x8c634f74, 0x2442fe00,
+0x24630200, 0x3c010001, 0xac224f70, 0x3c010001,
+0x10000004, 0xac234f74, 0x3c1d0001, 0x8fbd4fb0,
+0x3a0f021, 0x3c020001, 0x8c424f64, 0x1040000c,
+0x26fafa48, 0x3c1a0001, 0x8f5a4f74, 0x3c020001,
+0x8c424f70, 0x3401821, 0x2442fa48, 0x246305b8,
+0x3c010001, 0xac224f70, 0x3c010001, 0xac234f74,
+0x3c020001, 0x8c424f68, 0x14400003, 0x0,
+0x3c010001, 0xac204f70, 0xc001139, 0x0,
+0x8fbf001c, 0x8fb00018, 0x3e00008, 0x27bd0020,
+0x3c020001, 0x8c424f70, 0x3c030001, 0x8c634f74,
+0x27bdffa0, 0xafb00040, 0x3c100001, 0x8e104758,
+0x3c040001, 0x24843db4, 0xafbf0058, 0xafbe0054,
+0xafb50050, 0xafb3004c, 0xafb20048, 0xafb10044,
+0xafa20034, 0xafa30030, 0xafa00010, 0xafa00014,
+0x8f860040, 0x24050200, 0xc002bdf, 0x2003821,
+0x8f830040, 0x3c02f000, 0x621824, 0x3c026000,
+0x1062001f, 0xa3a00038, 0x3c040001, 0x24843dbc,
+0xafa00010, 0xafa00014, 0x8f860040, 0x24050300,
+0xc002bdf, 0x2003821, 0x3c040001, 0x24843da0,
+0x240202ba, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e73da8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x240e0001,
+0x3c030001, 0xa3ae0038, 0x431025, 0xaf820140,
+0x8f820240, 0x3c030001, 0x431025, 0xaf820240,
+0xaf800048, 0x8f820048, 0x14400005, 0x0,
+0xaf800048, 0x8f820048, 0x10400004, 0x0,
+0xaf800048, 0x10000003, 0x2e02021, 0xaf80004c,
+0x2e02021, 0x3c050001, 0xc002c10, 0x34a540f8,
+0x3402021, 0xc002c10, 0x240505b8, 0x3c020001,
+0x8c4250f4, 0x3c040001, 0x24843dc8, 0x24050400,
+0x3c0d0001, 0x8dad50d4, 0x3c030001, 0x8c6350d0,
+0x3c080001, 0x8d0850dc, 0x3c090001, 0x8d2950f8,
+0x3c0a0001, 0x8d4a5100, 0x3c0b0001, 0x8d6b5110,
+0x3c0c0001, 0x8d8c50e4, 0x24060001, 0xc03821,
+0xaf400000, 0xaf420130, 0x2442ff80, 0xaf420134,
+0xc01021, 0xaf4d012c, 0xaf430138, 0xaf48013c,
+0xaf490140, 0xaf4a0144, 0xaf4b0148, 0xaf4c014c,
+0xafa20010, 0xc002bdf, 0xafa00014, 0x8f42012c,
+0xafa20010, 0x8f420130, 0xafa20014, 0x8f460138,
+0x8f47013c, 0x3c040001, 0x24843dd4, 0xc002bdf,
+0x24050500, 0xafb70010, 0xafba0014, 0x8f460140,
+0x8f470144, 0x3c040001, 0x24843de0, 0xc002bdf,
+0x24050600, 0x3c020001, 0x8c4250e8, 0x3603821,
+0x3c060001, 0x24c67250, 0x2448ffff, 0x1061824,
+0xe81024, 0x43102b, 0x1040001a, 0x24050900,
+0x3c040001, 0x24843dec, 0xafa80010, 0xc002bdf,
+0xafa00014, 0x3c040001, 0x24843da0, 0x24020313,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e73da8, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x8f82000c, 0xafa20010, 0x8f82003c,
+0xafa20014, 0x8f860000, 0x8f870004, 0x3c040001,
+0x24843df8, 0xc002bdf, 0x24051000, 0x8021,
+0x8e020220, 0x8e030224, 0x8e060218, 0x8e07021c,
+0x3c040001, 0x24843e00, 0x24051100, 0xafa20010,
+0xc002bdf, 0xafa30014, 0xaf800054, 0xaf80011c,
+0x8e020218, 0x30440002, 0x10800009, 0x0,
+0x8e020220, 0x3c030002, 0x34630004, 0x431025,
+0xaf42000c, 0x8e02021c, 0x10000008, 0x34420004,
+0x8c820220, 0x3c030002, 0x34630006, 0x431025,
+0xaf42000c, 0x8c82021c, 0x34420006, 0xaf420014,
+0x1821, 0x8c620218, 0x30440010, 0x1080000a,
+0x0, 0x8c62021c, 0x34420004, 0xaf420010,
+0x8c620220, 0x3c03000a, 0x34630004, 0x431025,
+0x10000009, 0xaf420008, 0x8c820220, 0x3c03000a,
+0x34630006, 0x431025, 0xaf420008, 0x8c82021c,
+0x34420006, 0xaf420010, 0x24020001, 0xaf8200a0,
+0xaf8200b0, 0x8f830054, 0x8f820054, 0x10000002,
+0x24630064, 0x8f820054, 0x621023, 0x2c420065,
+0x1440fffc, 0x1021, 0x8c440208, 0x8c45020c,
+0x26e20028, 0xaee20020, 0x24020490, 0xaee20010,
+0xaee40008, 0xaee5000c, 0x26e40008, 0x8c820000,
+0x8c830004, 0xaf820090, 0xaf830094, 0x8c820018,
+0xaf8200b4, 0x9482000a, 0xaf82009c, 0x8f8200b0,
+0x8f430014, 0x431025, 0xaf8200b0, 0x8f8200b0,
+0x30420004, 0x1440fffd, 0x24051200, 0x96e20472,
+0x96e60452, 0x96e70462, 0xafa20010, 0x96e20482,
+0x3c040001, 0x24843e08, 0xc002bdf, 0xafa20014,
+0x96f00452, 0x32020001, 0x10400002, 0xb021,
+0x24160001, 0x32020002, 0x54400001, 0x36d60002,
+0x32020008, 0x54400001, 0x36d60004, 0x32020010,
+0x54400001, 0x36d60008, 0x32020020, 0x54400001,
+0x36d60010, 0x32020040, 0x54400001, 0x36d60020,
+0x32020080, 0x54400001, 0x36d60040, 0x96e60482,
+0x30c20200, 0x54400001, 0x36d64000, 0x96e30472,
+0x30620200, 0x10400003, 0x30620100, 0x10000003,
+0x36d62000, 0x54400001, 0x36d61000, 0x96f00462,
+0x32c24000, 0x14400004, 0x3207009b, 0x30c2009b,
+0x14e20007, 0x0, 0x32c22000, 0x14400022,
+0x32020001, 0x3062009b, 0x10e2001f, 0x32020001,
+0x3c040001, 0x24843e14, 0x24051300, 0x2003821,
+0xafa30010, 0xc002bdf, 0xafa00014, 0x3c040001,
+0x24843da0, 0x240203bc, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e73da8, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x240f0001, 0x3c030001, 0xa3af0038, 0x431025,
+0xaf820140, 0x32020001, 0x54400001, 0x36d60080,
+0x32020002, 0x54400001, 0x36d60100, 0x32020008,
+0x54400001, 0x36d60200, 0x32020010, 0x54400001,
+0x36d60400, 0x32020080, 0x54400001, 0x36d60800,
+0x8021, 0x8e020218, 0x30420200, 0x10400002,
+0x3c020008, 0x2c2b025, 0x8e020218, 0x30420800,
+0x10400002, 0x3c020080, 0x2c2b025, 0x8e020218,
+0x30420400, 0x10400002, 0x3c020100, 0x2c2b025,
+0x8e020218, 0x30420100, 0x10400002, 0x3c020200,
+0x2c2b025, 0x8e020218, 0x30420080, 0x10400002,
+0x3c020400, 0x2c2b025, 0x8e020218, 0x30422000,
+0x10400002, 0x3c020010, 0x2c2b025, 0x8e020218,
+0x30424000, 0x10400002, 0x3c020020, 0x2c2b025,
+0x8e020218, 0x30421000, 0x10400002, 0x3c020040,
+0x2c2b025, 0x8ee20498, 0x8ee3049c, 0xaf420150,
+0xaf430154, 0x8ee204a0, 0x8ee304a4, 0xaf420158,
+0xaf43015c, 0x8ee204a8, 0x8ee304ac, 0xaf420160,
+0xaf430164, 0x8ee20428, 0x8ee3042c, 0xaf420168,
+0xaf43016c, 0x8ee20448, 0x8ee3044c, 0xaf420170,
+0xaf430174, 0x8ee20458, 0x8ee3045c, 0xaf420178,
+0xaf43017c, 0x8ee20468, 0x8ee3046c, 0xaf420180,
+0xaf430184, 0x8ee20478, 0x8ee3047c, 0xaf420188,
+0xaf43018c, 0x8ee20488, 0x8ee3048c, 0xaf420190,
+0xaf430194, 0x8ee204b0, 0x8ee304b4, 0x24040080,
+0xaf420198, 0xaf43019c, 0xc002c10, 0x802821,
+0x8e02025c, 0x27440214, 0xaf4201e0, 0x8e020260,
+0x24050200, 0x24060008, 0xc002c1b, 0xaf4201e8,
+0x3c043b9a, 0x3484ca00, 0x3821, 0x24020006,
+0x24030002, 0xaf4201e4, 0x240203e8, 0xaf4301f4,
+0xaf4301f0, 0xaf4401ec, 0xaf420284, 0x24020001,
+0xaf430280, 0xaf42028c, 0x3c030001, 0x671821,
+0x90634f78, 0x3471021, 0x24e70001, 0xa043021c,
+0x2ce2000f, 0x1440fff8, 0x3471821, 0x24e70001,
+0x3c080001, 0x350840f8, 0x8f820040, 0x3c040001,
+0x24843e20, 0x24051400, 0xa821, 0x21702,
+0x24420030, 0xa062021c, 0x3471021, 0xa040021c,
+0x8ea70218, 0x2c03021, 0x240205b8, 0xafa20010,
+0xc002bdf, 0xafa80014, 0x3c040001, 0x24843e2c,
+0x3c050000, 0x24a55df0, 0x24060010, 0x27b10030,
+0x2203821, 0x27b30034, 0xc001934, 0xafb30010,
+0x3c030001, 0x8c634f68, 0x1060000a, 0x408021,
+0x8fa30030, 0x2405ff00, 0x8fa20034, 0x246400ff,
+0x852024, 0x831823, 0x431023, 0xafa20034,
+0xafa40030, 0xafb30010, 0x3c040001, 0x24843e38,
+0x3c050000, 0x24a54100, 0x24060108, 0xc001934,
+0x2203821, 0x409021, 0x32c20003, 0x50400058,
+0x2203821, 0x8f820050, 0x3c030010, 0x431024,
+0x10400029, 0x0, 0x8ea20218, 0x30420040,
+0x10400022, 0x24020001, 0x8f820050, 0x8ea30218,
+0x3c040001, 0x24843e44, 0xafa20010, 0xafa30014,
+0x8f870040, 0x24051500, 0xc002bdf, 0x2c03021,
+0x3c040001, 0x24843da0, 0x2402042e, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x24180001, 0x3c030001, 0xa3b80038,
+0x431025, 0x10000004, 0xaf820140, 0x3c010001,
+0x370821, 0xa02240f4, 0x3c040001, 0x24843e50,
+0x3c050001, 0x24a5ab00, 0x3c060001, 0x24c6ac1c,
+0xc53023, 0x8f420010, 0x27b30030, 0x2603821,
+0x27b10034, 0x34420a00, 0xaf420010, 0xc001934,
+0xafb10010, 0x3c040001, 0x24843e64, 0x3c050001,
+0x24a5b700, 0x3c060001, 0x24c6cc3c, 0xc53023,
+0x2603821, 0xaf420108, 0xc001934, 0xafb10010,
+0x3c040001, 0x24843e80, 0x3c050001, 0x24a5bf20,
+0x3c060001, 0x24c6cc24, 0xc53023, 0x2603821,
+0x3c010001, 0xac225140, 0xc001934, 0xafb10010,
+0x3c040001, 0x24843e98, 0x10000024, 0x24051600,
+0x3c040001, 0x24843ea0, 0x3c050001, 0x24a5ac24,
+0x3c060001, 0x24c6adc8, 0xc53023, 0xc001934,
+0xafb30010, 0x3c040001, 0x24843eb0, 0x3c050001,
+0x24a5b298, 0x3c060001, 0x24c6cc44, 0xc53023,
+0x2203821, 0xaf420108, 0xc001934, 0xafb30010,
+0x3c040001, 0x24843ec4, 0x3c050001, 0x24a5ba68,
+0x3c060001, 0x24c6cc2c, 0xc53023, 0x2203821,
+0x3c010001, 0xac225140, 0xc001934, 0xafb30010,
+0x3c040001, 0x24843ed8, 0x24051650, 0x2c03021,
+0x3821, 0x3c010001, 0xac225144, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x32c20020, 0x10400022,
+0x27a70030, 0x3c040001, 0x24843ee4, 0x3c050001,
+0x24a5b0d0, 0x3c060001, 0x24c6cc34, 0xc53023,
+0x24022000, 0xaf42001c, 0x27a20034, 0xc001934,
+0xafa20010, 0x3c030fff, 0x3463ffff, 0x431824,
+0x31882, 0x3c040800, 0x641825, 0xae430028,
+0x24030010, 0xaf43003c, 0x96e30450, 0x3c040001,
+0x24843ef8, 0xaf430040, 0xafa00014, 0xafa30010,
+0x8f47001c, 0x24051660, 0x3c010001, 0xac22513c,
+0x10000039, 0x32c60020, 0x8ee2044c, 0xaf42001c,
+0x2442e000, 0x2c422001, 0x14400020, 0x3c030fff,
+0x3c040001, 0x24843f04, 0xafa00010, 0xafa00014,
+0x8f46001c, 0x24051700, 0xc002bdf, 0x3821,
+0x3c040001, 0x24843da0, 0x24020497, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x24190001, 0x3c030001, 0xa3b90038,
+0x431025, 0xaf820140, 0x3c030fff, 0x3463ffff,
+0x3c020000, 0x2442644c, 0x431024, 0x21082,
+0x3c030800, 0x431025, 0xae420028, 0x24020008,
+0xaf42003c, 0x96e20450, 0x3c040001, 0x24843f10,
+0xaf420040, 0xafa00014, 0xafa20010, 0x8f47001c,
+0x24051800, 0x32c60020, 0xc002bdf, 0x0,
+0x3c030001, 0x8c635140, 0x3c050fff, 0x34a5ffff,
+0x3c020001, 0x8c425144, 0x3c040800, 0x651824,
+0x31882, 0x641825, 0x451024, 0x21082,
+0x441025, 0xae420080, 0x32c20180, 0x1040007d,
+0xae430020, 0x8f82005c, 0x3c030080, 0x431024,
+0x10400021, 0x0, 0x8f820050, 0xafa20010,
+0x8f82005c, 0x3c040001, 0x24843f1c, 0xafa20014,
+0x8f870040, 0x24051900, 0xc002bdf, 0x2c03021,
+0x3c040001, 0x24843da0, 0x240204b8, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x240e0001, 0x3c030001, 0xa3ae0038,
+0x431025, 0xaf820140, 0x8f820050, 0x3c030010,
+0x431024, 0x10400029, 0x1821, 0x8c620218,
+0x30420040, 0x10400022, 0x24020001, 0x8f820050,
+0x8c630218, 0x3c040001, 0x24843e44, 0xafa20010,
+0xafa30014, 0x8f870040, 0x24052000, 0xc002bdf,
+0x2c03021, 0x3c040001, 0x24843da0, 0x240204c6,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e73da8, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x240f0001, 0x3c030001,
+0xa3af0038, 0x431025, 0x10000004, 0xaf820140,
+0x3c010001, 0x370821, 0xa02240f4, 0x3c040001,
+0x24843f28, 0x3c050001, 0x24a5a814, 0x3c060001,
+0x24c6a93c, 0xc53023, 0x8f420008, 0x27b30030,
+0x2603821, 0x27b10034, 0x34420e00, 0xaf420008,
+0xc001934, 0xafb10010, 0x3c040001, 0x24843f40,
+0x3c050001, 0x24a5daa8, 0x3c060001, 0x24c6f500,
+0xc53023, 0x2603821, 0xaf42010c, 0xc001934,
+0xafb10010, 0x3c040001, 0x24843f58, 0x3c050001,
+0x24a5eda0, 0x3c060001, 0x24c6f4f0, 0xc53023,
+0x2603821, 0x3c010001, 0xac225150, 0xc001934,
+0xafb10010, 0x3c040001, 0x24843f70, 0x10000027,
+0x24052100, 0x3c040001, 0x24843f78, 0x3c050001,
+0x24a5a944, 0x3c060001, 0x24c6aaf8, 0xc53023,
+0x27b10030, 0x2203821, 0x27b30034, 0xc001934,
+0xafb30010, 0x3c040001, 0x24843f88, 0x3c050001,
+0x24a5cc50, 0x3c060001, 0x24c6f508, 0xc53023,
+0x2203821, 0xaf42010c, 0xc001934, 0xafb30010,
+0x3c040001, 0x24843f98, 0x3c050001, 0x24a5eb80,
+0x3c060001, 0x24c6f4f8, 0xc53023, 0x2203821,
+0x3c010001, 0xac225150, 0xc001934, 0xafb30010,
+0x3c040001, 0x24843fac, 0x24052150, 0x2c03021,
+0x3821, 0x3c010001, 0xac22515c, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x3c030001, 0x8c635150,
+0x3c110fff, 0x3631ffff, 0x3c020001, 0x8c42515c,
+0x3c1e0800, 0x711824, 0x31882, 0x7e1825,
+0x511024, 0x21082, 0x5e1025, 0xae420078,
+0x1021, 0xae430038, 0x8c420218, 0x30420040,
+0x14400004, 0x24020001, 0x3c010001, 0x370821,
+0xa02240f4, 0x3c040001, 0x24843fb8, 0x3c050001,
+0x24a5e608, 0x3c060001, 0x24c6f5b8, 0xc53023,
+0x27b50030, 0x2a03821, 0x27b30034, 0xc001934,
+0xafb30010, 0x3c010001, 0xac225148, 0x511024,
+0x21082, 0x5e1025, 0xae420050, 0x32c22000,
+0x10400005, 0x2a03821, 0x3c020000, 0x2442644c,
+0x1000000d, 0x511024, 0x3c040001, 0x24843fcc,
+0x3c050001, 0x24a5e7c0, 0x3c060001, 0x24c6f5c0,
+0xc53023, 0xc001934, 0xafb30010, 0x3c010001,
+0xac225160, 0x511024, 0x21082, 0x5e1025,
+0xae420048, 0x32c24000, 0x1040000a, 0x3c020fff,
+0x3442ffff, 0x3c030000, 0x2463644c, 0x621824,
+0x31882, 0x3c020800, 0x621825, 0x10000015,
+0xae430060, 0x3c040001, 0x24843fe4, 0x3c050001,
+0x24a5e9cc, 0x3c060001, 0x24c6f5c8, 0xc53023,
+0x27a70030, 0x27a20034, 0xc001934, 0xafa20010,
+0x3c030fff, 0x3463ffff, 0x3c010001, 0xac225154,
+0x431024, 0x21082, 0x3c030800, 0x431025,
+0xae420060, 0x3c040001, 0x24843ffc, 0x3c050001,
+0x24a580c0, 0x3c060001, 0x24c690b0, 0xc53023,
+0x27b10030, 0x2203821, 0x27b30034, 0xc001934,
+0xafb30010, 0x3c1e0fff, 0x37deffff, 0x3c040001,
+0x24844008, 0x3c050000, 0x24a56680, 0x3c060000,
+0x24c67fc0, 0xc53023, 0x2203821, 0x3c010001,
+0xac225128, 0x5e1024, 0x21082, 0x3c150800,
+0x551025, 0xae4200b8, 0xc001934, 0xafb30010,
+0x3c040001, 0x24844014, 0x3c050000, 0x24a567e0,
+0x3c060000, 0x24c67fb8, 0xc53023, 0x2203821,
+0x3c010001, 0xac22511c, 0x5e1024, 0x21082,
+0x551025, 0xae4200e8, 0xc001934, 0xafb30010,
+0x3c040001, 0x2484402c, 0x3c050000, 0x24a56a48,
+0x3c060000, 0x24c67fb0, 0xc53023, 0x2203821,
+0x3c010001, 0xac225114, 0x5e1024, 0x21082,
+0x551025, 0xae4200c0, 0xc001934, 0xafb30010,
+0x3c040001, 0x24844044, 0x3c050001, 0x24a502cc,
+0x3c060001, 0x24c603a4, 0xc53023, 0x2203821,
+0x3c010001, 0xac225120, 0x5e1024, 0x21082,
+0x551025, 0xae4200c8, 0xc001934, 0xafb30010,
+0x3c040001, 0x24844050, 0x3c050001, 0x24a5f5d0,
+0x3c060001, 0x24c6f604, 0xc53023, 0x2203821,
+0xaf420110, 0xc001934, 0xafb30010, 0x3c040001,
+0x24844060, 0x3c050001, 0x24a5f4c4, 0x3c060001,
+0x24c6f4e8, 0xc53023, 0x2203821, 0xaf420114,
+0xc001934, 0xafb30010, 0x3c040001, 0x2484406c,
+0x3c050001, 0x24a5f610, 0x3c060001, 0x24c6ff58,
+0xc53023, 0x2203821, 0xaf420118, 0xc001934,
+0xafb30010, 0x3c010001, 0xac225164, 0x5e1024,
+0x21082, 0x551025, 0xc004160, 0xae4200d0,
+0xc003fe9, 0x0, 0xc002730, 0x0,
+0x1021, 0xac400228, 0xac40022c, 0x96e20450,
+0x2442ffff, 0xaf420038, 0x96e20460, 0xaf420080,
+0x32c24000, 0x14400003, 0x0, 0x96e20480,
+0xaf420084, 0x96e70490, 0x50e00001, 0x24070800,
+0x24e2ffff, 0xaf420088, 0xaf42007c, 0x24020800,
+0x10e20023, 0x32c24000, 0x10400003, 0x24020400,
+0x10e2001f, 0x0, 0x3c040001, 0x2484407c,
+0x96e60490, 0x24052170, 0x2c03821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x3c040001, 0x24843da0,
+0x240205a3, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e73da8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x24180001,
+0x3c030001, 0xa3b80038, 0x431025, 0xaf820140,
+0x8f43012c, 0x24020001, 0xa34205b3, 0x602021,
+0xaf430094, 0xaf440098, 0xafa00010, 0xafa00014,
+0x8f460080, 0x8f470084, 0x3c040001, 0x24844088,
+0xc002bdf, 0x24052200, 0xc002715, 0x3c110800,
+0x3c020800, 0x34420080, 0x3c040001, 0x24844094,
+0x3c050000, 0x24a56270, 0x3c060000, 0x24c6628c,
+0xc53023, 0x27a70030, 0xaf820060, 0x2402ffff,
+0xaf820064, 0x27a20034, 0x3c1433d8, 0x3694cb58,
+0xc001934, 0xafa20010, 0x3c030fff, 0x3463ffff,
+0x3c010001, 0xac225104, 0x431024, 0x21082,
+0x511025, 0xc001ff6, 0xae420000, 0x8f820240,
+0x3c030001, 0x431025, 0xaf820240, 0x3c020000,
+0x24424034, 0xaf820244, 0xaf800240, 0x8f820060,
+0x511024, 0x14400005, 0x2201821, 0x8f820060,
+0x431024, 0x1040fffd, 0x0, 0xc003ff6,
+0x8821, 0x3c020100, 0xafa20020, 0x8f530018,
+0x240200ff, 0x56620001, 0x26710001, 0x1821,
+0x8c620228, 0x1622000d, 0x1330c0, 0x8f42032c,
+0x24420001, 0xaf42032c, 0x8c620228, 0x3c040001,
+0x24843d44, 0x3c050009, 0xafa00014, 0xafa20010,
+0x8fa60020, 0x1000003e, 0x34a50100, 0xc04821,
+0x2e61021, 0x8fb90020, 0x8fae0024, 0xac5904c0,
+0xac4e04c4, 0x8f440168, 0x8f45016c, 0x4021,
+0x24020004, 0xafa20010, 0xafb10014, 0x8f42000c,
+0x24c604c0, 0x2e63021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x1440000b, 0x24070008,
+0x8f820120, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24843d4c, 0x3c050009, 0xafa20014, 0x8fa60020,
+0x1000001b, 0x34a50200, 0x8f440150, 0x8f450154,
+0x8f43000c, 0xaf510018, 0x8f860120, 0x24020010,
+0xafa20010, 0xafb10014, 0xafa30018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x1440000f, 0x0,
+0x8f420330, 0x24420001, 0xaf420330, 0x8f820120,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24843d54,
+0x3c050009, 0xafa20014, 0x8fa60020, 0x34a50300,
+0xc002bdf, 0x2603821, 0x8f4202d4, 0x24420001,
+0xaf4202d4, 0x93a20038, 0x10400076, 0x3c020700,
+0x34423000, 0xafa20028, 0x8f530018, 0x240200ff,
+0x12620002, 0x8821, 0x26710001, 0x1821,
+0x8c620228, 0x1622000d, 0x1330c0, 0x8f42032c,
+0x24420001, 0xaf42032c, 0x8c620228, 0x3c040001,
+0x24843d44, 0x3c050009, 0xafa00014, 0xafa20010,
+0x8fa60028, 0x1000003e, 0x34a50100, 0xc04821,
+0x2e61021, 0x8fb90028, 0x8fae002c, 0xac5904c0,
+0xac4e04c4, 0x8f440168, 0x8f45016c, 0x4021,
+0x24020004, 0xafa20010, 0xafb10014, 0x8f42000c,
+0x24c604c0, 0x2e63021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x1440000b, 0x24070008,
+0x8f820120, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24843d4c, 0x3c050009, 0xafa20014, 0x8fa60028,
+0x1000001b, 0x34a50200, 0x8f440150, 0x8f450154,
+0x8f43000c, 0xaf510018, 0x8f860120, 0x24020010,
+0xafa20010, 0xafb10014, 0xafa30018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x1440000f, 0x0,
+0x8f420330, 0x24420001, 0xaf420330, 0x8f820120,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24843d54,
+0x3c050009, 0xafa20014, 0x8fa60028, 0x34a50300,
+0xc002bdf, 0x2603821, 0x8f4202e0, 0x3c040001,
+0x248440a4, 0x24420001, 0xaf4202e0, 0xafa00010,
+0xafa00014, 0x8fa60028, 0x24052300, 0xc002bdf,
+0x3821, 0x3c040001, 0x24843da0, 0x24020608,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e73da8, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x8f8200a0, 0x30420004, 0x10400008,
+0x1821, 0x8c620264, 0x10400005, 0x0,
+0x8f8200a0, 0x30420004, 0x1440fffa, 0x0,
+0x8f820044, 0x34420004, 0xaf820044, 0x8f4202f8,
+0x24420001, 0xaf4202f8, 0x8f8200d8, 0x8f8300d4,
+0x431023, 0x2442ff80, 0xaf420090, 0x2842ff81,
+0x10400006, 0x24020001, 0x8f420090, 0x8f430138,
+0x431021, 0xaf420090, 0x24020001, 0xaf42008c,
+0x32c20008, 0x10400006, 0x0, 0x8f820214,
+0x3c038100, 0x3042ffff, 0x431025, 0xaf820214,
+0x3c020001, 0x8c425024, 0x30420001, 0x10400009,
+0x0, 0x3c040001, 0x248440b0, 0x3c050000,
+0x24a56f7c, 0x3c060000, 0x24c67fd0, 0x10000008,
+0xc53023, 0x3c040001, 0x248440c0, 0x3c050000,
+0x24a56b74, 0x3c060000, 0x24c67fc8, 0xc53023,
+0x27a70030, 0x27a20034, 0xc001934, 0xafa20010,
+0x3c010001, 0xac225118, 0x3c020001, 0x8c425118,
+0x3c030fff, 0x3463ffff, 0x431024, 0x21082,
+0x3c030800, 0x431025, 0xae420040, 0x8f8200a0,
+0xafa20010, 0x8f8200b0, 0xafa20014, 0x8f86005c,
+0x8f87011c, 0x3c040001, 0x248440d0, 0x3c010001,
+0xac3650f0, 0x3c010001, 0xac2050e0, 0x3c010001,
+0xac3c50d8, 0x3c010001, 0xac3b5108, 0x3c010001,
+0xac37510c, 0x3c010001, 0xac3a50ec, 0xc002bdf,
+0x24052400, 0x8f820200, 0xafa20010, 0x8f820220,
+0xafa20014, 0x8f860044, 0x8f870050, 0x3c040001,
+0x248440dc, 0xc002bdf, 0x24052500, 0x8f830060,
+0x74100b, 0x242000a, 0x200f821, 0x0,
+0xd, 0x8fbf0058, 0x8fbe0054, 0x8fb50050,
+0x8fb3004c, 0x8fb20048, 0x8fb10044, 0x8fb00040,
+0x3e00008, 0x27bd0060, 0x27bdffc8, 0x3c040001,
+0x24844108, 0x24053200, 0x3c020001, 0x8c424f70,
+0x3c030001, 0x8c634f74, 0x3021, 0x3603821,
+0xafbf0034, 0xafb50030, 0xafb3002c, 0xafb20028,
+0xafb10024, 0xafb00020, 0xafa2001c, 0xafa30018,
+0xafb70010, 0xc002bdf, 0xafba0014, 0xc002028,
+0x0, 0x8f820240, 0x34420004, 0xaf820240,
+0x24020001, 0xaf420000, 0x3c020001, 0x571021,
+0x904240f4, 0x10400096, 0x2403fffc, 0x3c100001,
+0x2610addb, 0x3c120001, 0x2652a3e4, 0x2121023,
+0x438024, 0x3c040001, 0x24844114, 0x8fa3001c,
+0x27b50018, 0x70102b, 0x1440001a, 0x27b3001c,
+0x8fb10018, 0x24053000, 0x2403021, 0xafb00010,
+0xafa30014, 0xc002bdf, 0x2203821, 0x8fa30018,
+0x702021, 0x64102b, 0x10400007, 0x2403021,
+0x8cc20000, 0xac620000, 0x24630004, 0x64102b,
+0x1440fffb, 0x24c60004, 0x8e620000, 0x501023,
+0xae620000, 0x8ea20000, 0x501021, 0x1000000a,
+0xaea20000, 0x2408821, 0x24053100, 0xafb00010,
+0xafa30014, 0x8fa70018, 0x2203021, 0x2402002d,
+0xc002bdf, 0xa0820000, 0x24070020, 0x3c040001,
+0x24844130, 0x8fa3001c, 0xe09821, 0x27b20018,
+0x3c010001, 0xac3150fc, 0x67102b, 0x1440001d,
+0x27b1001c, 0x8fb00018, 0x24053000, 0x3c060001,
+0x24c65190, 0xafa70010, 0xafa30014, 0xc002bdf,
+0x2003821, 0x8fa30018, 0x3c040001, 0x24845190,
+0x24650020, 0x65102b, 0x10400007, 0x0,
+0x8c820000, 0xac620000, 0x24630004, 0x65102b,
+0x1440fffb, 0x24840004, 0x8e220000, 0x531023,
+0xae220000, 0x8e420000, 0x531021, 0x1000000b,
+0xae420000, 0x3c100001, 0x26105190, 0x24053100,
+0xafa70010, 0xafa30014, 0x8fa70018, 0x2003021,
+0x2402002d, 0xc002bdf, 0xa0820000, 0x24070020,
+0x3c040001, 0x24844144, 0xe09821, 0x8fa3001c,
+0x27b20018, 0x3c010001, 0xac305130, 0x67102b,
+0x1440001d, 0x27b1001c, 0x8fb00018, 0x24053000,
+0x3c060001, 0x24c651b0, 0xafa70010, 0xafa30014,
+0xc002bdf, 0x2003821, 0x8fa30018, 0x3c040001,
+0x248451b0, 0x24650020, 0x65102b, 0x10400007,
+0x0, 0x8c820000, 0xac620000, 0x24630004,
+0x65102b, 0x1440fffb, 0x24840004, 0x8e220000,
+0x531023, 0xae220000, 0x8e420000, 0x531021,
+0x1000000b, 0xae420000, 0x3c100001, 0x261051b0,
+0x24053100, 0xafa70010, 0xafa30014, 0x8fa70018,
+0x2003021, 0x2402002d, 0xc002bdf, 0xa0820000,
+0x3c010001, 0xac30512c, 0x10000032, 0x0,
+0x3c100001, 0x261080b3, 0x3c120000, 0x26527e28,
+0x2121023, 0x438024, 0x3c040001, 0x24844158,
+0x8fa3001c, 0x27b50018, 0x70102b, 0x1440001a,
+0x27b3001c, 0x8fb10018, 0x24053000, 0x2403021,
+0xafb00010, 0xafa30014, 0xc002bdf, 0x2203821,
+0x8fa30018, 0x702021, 0x64102b, 0x10400007,
+0x2403021, 0x8cc20000, 0xac620000, 0x24630004,
+0x64102b, 0x1440fffb, 0x24c60004, 0x8e620000,
+0x501023, 0xae620000, 0x8ea20000, 0x501021,
+0x1000000a, 0xaea20000, 0x2408821, 0x24053100,
+0xafb00010, 0xafa30014, 0x8fa70018, 0x2203021,
+0x2402002d, 0xc002bdf, 0xa0820000, 0x3c010001,
+0xac3150fc, 0x3c030001, 0x8c6350fc, 0x24020400,
+0x60f809, 0xaf820070, 0x8fbf0034, 0x8fb50030,
+0x8fb3002c, 0x8fb20028, 0x8fb10024, 0x8fb00020,
+0x3e00008, 0x27bd0038, 0x1021, 0x8c420224,
+0x3047003f, 0x10e00010, 0x803021, 0x2821,
+0x24030020, 0xe31024, 0x10400002, 0x63042,
+0xa62821, 0x31842, 0x1460fffb, 0xe31024,
+0x2402f000, 0xa22824, 0x3403ffff, 0x65102b,
+0x14400003, 0x851023, 0x3e00008, 0x3c020001,
+0x62102b, 0x10400003, 0x3c02ffff, 0x3e00008,
+0xa01021, 0x3e00008, 0x821021, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x3e00008, 0x0, 0x3e00008, 0x0,
+0x3e00008, 0x0, 0x3e00008, 0x0,
+0x27bdfde0, 0xafb00218, 0x27b00018, 0x3c0200bf,
+0x3442ffff, 0x50102b, 0x10400015, 0xafbf021c,
+0x3c040001, 0x24843da0, 0x2402068a, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x3c04dead, 0x3484beef, 0x8f820150, 0x3c03001f,
+0x3463ffff, 0xafa40018, 0x2028023, 0x2038024,
+0x8e020000, 0x1044001e, 0x0, 0xafb00010,
+0x8e020000, 0xafa20014, 0x8f860150, 0x8f870250,
+0x3c040001, 0x248440f0, 0xc002bdf, 0x24052700,
+0x3c040001, 0x24843da0, 0x24020698, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x8fbf021c, 0x8fb00218, 0x3e00008, 0x27bd0220,
+0x27bdffe0, 0x3c06abba, 0x34c6babe, 0xafb00018,
+0x3c100004, 0x3c07007f, 0x34e7ffff, 0xafbf001c,
+0x102840, 0x8e040000, 0x8ca30000, 0xaca00000,
+0xae060000, 0x8ca20000, 0xaca30000, 0x10460005,
+0xae040000, 0xa08021, 0xf0102b, 0x1040fff5,
+0x102840, 0x3c040001, 0x248440fc, 0x24052800,
+0x2003021, 0x3821, 0xafa00010, 0xc002bdf,
+0xafa00014, 0x2001021, 0x8fbf001c, 0x8fb00018,
+0x3e00008, 0x27bd0020, 0x27bdffe0, 0x3c040001,
+0x248440e8, 0x24052600, 0x3021, 0xc03821,
+0xafbf0018, 0xafa00010, 0xc002bdf, 0xafa00014,
+0x3c040001, 0x24843da0, 0x24020666, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e73da8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x27bdffd0,
+0xafb20020, 0x8fb20040, 0xafb50028, 0xa0a821,
+0xafb30024, 0x24c60003, 0xafbf002c, 0xafb1001c,
+0xafb00018, 0x8e420000, 0x2403fffc, 0xc38024,
+0x50102b, 0x1440001b, 0xe09821, 0x8e710000,
+0xafb00010, 0x8e420000, 0xafa20014, 0x8e670000,
+0x24053000, 0xc002bdf, 0x2a03021, 0x8e630000,
+0x702021, 0x64102b, 0x10400007, 0x2a02821,
+0x8ca20000, 0xac620000, 0x24630004, 0x64102b,
+0x1440fffb, 0x24a50004, 0x8e420000, 0x501023,
+0xae420000, 0x8e620000, 0x501021, 0x1000000b,
+0xae620000, 0x2402002d, 0xa0820000, 0xafb00010,
+0x8e420000, 0x2a08821, 0xafa20014, 0x8e670000,
+0x24053100, 0xc002bdf, 0x2203021, 0x2201021,
+0x8fbf002c, 0x8fb50028, 0x8fb30024, 0x8fb20020,
+0x8fb1001c, 0x8fb00018, 0x3e00008, 0x27bd0030,
+0x27bdffe8, 0x3c1cc000, 0x3c05fffe, 0x3c030001,
+0x8c6350d0, 0x3c040001, 0x8c8450dc, 0x34a5bf08,
+0x24021ffc, 0x3c010001, 0xac224f70, 0x3c0200c0,
+0x3c010001, 0xac224f74, 0x3c020020, 0xafbf0010,
+0x3c0100c0, 0xac201ffc, 0x431023, 0x441023,
+0x245bb000, 0x365b821, 0x3c1d0001, 0x8fbd4f6c,
+0x3a0f021, 0x3c0300c0, 0x34630200, 0x3c0400c0,
+0x348407b8, 0x24021dfc, 0x3c010001, 0xac224f70,
+0x24021844, 0x3c1a00c0, 0x3c010001, 0xac234f74,
+0x3c010001, 0xac224f70, 0x3c010001, 0xac244f74,
+0xc00178b, 0x375a0200, 0x8fbf0010, 0x3e00008,
+0x27bd0018, 0x0, 0x0, 0x27bdffe8,
+0xafbf0010, 0x8f820054, 0x244203e8, 0xaf820058,
+0x3c020800, 0x2c21024, 0x10400004, 0x3c02f7ff,
+0x3442ffff, 0x2c2b024, 0x36940040, 0x3c020001,
+0x8c425038, 0x10400027, 0x0, 0x3c020001,
+0x8c425024, 0x30420001, 0x14400010, 0x0,
+0x3c020001, 0x8c425168, 0x1040000c, 0x0,
+0x3c020001, 0x8c4250b4, 0x14400008, 0x0,
+0x8f830224, 0x3c020001, 0x8c42722c, 0x10620003,
+0x0, 0xc003f1c, 0x0, 0x934205b1,
+0x10400012, 0x401821, 0x24020001, 0x14620004,
+0x3c0208ff, 0x24020002, 0x1000000c, 0xa34205b1,
+0x3442fffb, 0xa34005b1, 0x8f830220, 0x3c040200,
+0x284a025, 0x621824, 0xaf830220, 0x10000004,
+0x3c020200, 0xc0042f8, 0x0, 0x3c020200,
+0x2c21024, 0x10400003, 0x0, 0xc001eae,
+0x0, 0x8f4200d8, 0x8f4300dc, 0x24420001,
+0xaf4200d8, 0x43102b, 0x14400003, 0x1021,
+0xaf4000d8, 0x36940080, 0x8c430238, 0x1060000c,
+0x0, 0x8f4201a0, 0x244203e8, 0xaf4201a0,
+0x43102b, 0x14400006, 0x0, 0x934205b6,
+0x14400003, 0x0, 0xc001d0c, 0x0,
+0x8fbf0010, 0x3e00008, 0x27bd0018, 0x27bdffd8,
+0xafbf0020, 0x8f43002c, 0x8f420038, 0x10620057,
+0x0, 0x3c020001, 0x571021, 0x904240f0,
+0x10400025, 0x24070008, 0x8f440160, 0x8f450164,
+0x8f48000c, 0x8f860120, 0x24020020, 0xafa20010,
+0xafa30014, 0xafa80018, 0x8f42010c, 0x40f809,
+0x24c6001c, 0x14400011, 0x24020001, 0x3c010001,
+0x370821, 0xa02240f0, 0x8f820124, 0xafa20010,
+0x8f820128, 0x3c040001, 0x24844208, 0xafa20014,
+0x8f46002c, 0x8f870120, 0x3c050009, 0xc002bdf,
+0x34a50900, 0x10000058, 0x0, 0x8f43002c,
+0x8f4202f0, 0xa34005b2, 0x24420001, 0xaf430038,
+0x10000026, 0xaf4202f0, 0x8f440160, 0x8f450164,
+0x8f43002c, 0x8f48000c, 0x8f860120, 0x24020080,
+0xafa20010, 0xafa30014, 0xafa80018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x14400011, 0x24020001,
+0x3c010001, 0x370821, 0xa02240f1, 0x8f820124,
+0xafa20010, 0x8f820128, 0x3c040001, 0x24844214,
+0xafa20014, 0x8f46002c, 0x8f870120, 0x3c050009,
+0xc002bdf, 0x34a51100, 0x10000033, 0x0,
+0x8f44002c, 0x8f4302f0, 0x24020001, 0xa34205b2,
+0x24630001, 0xaf440038, 0xaf4302f0, 0x3c010001,
+0x370821, 0xa02040f1, 0x3c010001, 0x370821,
+0xa02040f0, 0x10000024, 0xaf400034, 0x934205b2,
+0x1040001b, 0x0, 0xa34005b2, 0x8f820040,
+0x30420001, 0x14400008, 0x2021, 0x8c830104,
+0x24020001, 0x50620005, 0x24040001, 0x8c820264,
+0x10400003, 0x801021, 0x24040001, 0x801021,
+0x10400005, 0x0, 0x8f4202fc, 0x24420001,
+0x10000007, 0xaf4202fc, 0x8f820044, 0x34420004,
+0xaf820044, 0x8f4202f8, 0x24420001, 0xaf4202f8,
+0x3c010001, 0x370821, 0xa02040f0, 0x3c010001,
+0x370821, 0xa02040f1, 0x8f420000, 0x10400007,
+0x0, 0xaf80004c, 0x8f82004c, 0x1040fffd,
+0x0, 0x10000005, 0x0, 0xaf800048,
+0x8f820048, 0x1040fffd, 0x0, 0x8f820060,
+0x3c03ff7f, 0x3463ffff, 0x431024, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x10000002,
+0xaf80004c, 0xaf800048, 0x8fbf0020, 0x3e00008,
+0x27bd0028, 0x27bdffd8, 0xafbf0020, 0x8f430044,
+0x8f42007c, 0x10620028, 0x24070008, 0x8f440158,
+0x8f45015c, 0x8f48000c, 0x8f860120, 0x24020040,
+0xafa20010, 0xafa30014, 0xafa80018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x14400011, 0x24020001,
+0x3c010001, 0x370821, 0xa02240f2, 0x8f820124,
+0xafa20010, 0x8f820128, 0x3c040001, 0x2484421c,
+0xafa20014, 0x8f460044, 0x8f870120, 0x3c050009,
+0xc002bdf, 0x34a51300, 0x1000000e, 0x0,
+0x8f4202f4, 0x8f430044, 0x24420001, 0xaf43007c,
+0xaf4202f4, 0x3c010001, 0x370821, 0xa02040f2,
+0x10000004, 0xaf400078, 0x3c010001, 0x370821,
+0xa02040f2, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x3c03feff,
+0x3463ffff, 0x431024, 0xaf820060, 0x8f420000,
+0x10400003, 0x0, 0x10000002, 0xaf80004c,
+0xaf800048, 0x8fbf0020, 0x3e00008, 0x27bd0028,
+0x3c020001, 0x8c425038, 0x27bdffb0, 0xafbf0048,
+0xafbe0044, 0xafb50040, 0xafb3003c, 0xafb20038,
+0xafb10034, 0xafb00030, 0x8f900044, 0x104000d4,
+0x274200d0, 0x8c430000, 0x602021, 0x24630001,
+0x2884000b, 0x148000e4, 0xac430000, 0x8f420004,
+0x30420002, 0x14400098, 0xaf4000d0, 0x8f420004,
+0x3c030001, 0x8c635028, 0x34420002, 0xaf420004,
+0x24020001, 0x14620003, 0x3c020600, 0x10000002,
+0x34423000, 0x34421000, 0xafa20020, 0x1821,
+0x8f4a0018, 0x27ab0020, 0x240200ff, 0xafab0028,
+0x11420002, 0xafaa002c, 0x25430001, 0x2021,
+0x8c820228, 0x609821, 0x1662000e, 0x0,
+0x8f42032c, 0x24420001, 0xaf42032c, 0x8c820228,
+0x8fa7002c, 0x3c050009, 0xafa00014, 0xafa20010,
+0x8fa60020, 0x3c040001, 0x248441ec, 0x1000006d,
+0x34a50500, 0x8fac002c, 0xc40c0, 0x2e81021,
+0x8fad0020, 0x8faa0024, 0xac4d04c0, 0xac4a04c4,
+0x8f830054, 0x8f820054, 0x247103e8, 0x2221023,
+0x2c4203e9, 0x1040001b, 0xa821, 0x1009021,
+0x265e04c0, 0x8f440168, 0x8f45016c, 0x2404821,
+0x240d0004, 0xafad0010, 0xafb30014, 0x8f42000c,
+0x4021, 0x2fe3021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x54400006, 0x24150001,
+0x8f820054, 0x2221023, 0x2c4203e9, 0x1440ffe9,
+0x0, 0x32a200ff, 0x54400016, 0xaf530018,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8faa0028, 0x8fa7002c, 0xafa20010, 0x8f820124,
+0x3c040001, 0x248441f8, 0xafa20014, 0x8d460000,
+0x3c050009, 0x10000033, 0x34a50600, 0x8f4202f8,
+0x24150001, 0x24420001, 0xaf4202f8, 0x1000001e,
+0x32a200ff, 0x8f830054, 0x8f820054, 0x247103e8,
+0x2221023, 0x2c4203e9, 0x10400016, 0xa821,
+0x3c1e0020, 0x24120010, 0x8f42000c, 0x8f440150,
+0x8f450154, 0x8f860120, 0xafb20010, 0xafb30014,
+0x5e1025, 0xafa20018, 0x8f42010c, 0x24070008,
+0x40f809, 0x24c6001c, 0x1440ffe4, 0x0,
+0x8f820054, 0x2221023, 0x2c4203e9, 0x1440ffee,
+0x0, 0x32a200ff, 0x14400010, 0x3c050009,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8fab0028, 0x8fa7002c, 0xafa20010, 0x8f820124,
+0x3c040001, 0x24844200, 0xafa20014, 0x8d660000,
+0x34a50700, 0xc002bdf, 0x0, 0x8f4202dc,
+0x24420001, 0xaf4202dc, 0x8f420004, 0x30420001,
+0x10400028, 0x3c020400, 0x2c21024, 0x10400013,
+0x2404ffdf, 0x8f420240, 0x8f430244, 0x8f4401a4,
+0x14640006, 0x36100040, 0x8f420260, 0x8f430264,
+0x8f4401a8, 0x10640007, 0x2402ffdf, 0x8f420240,
+0x8f430244, 0x8f440260, 0x8f450264, 0x10000012,
+0x3a100020, 0x10000030, 0x2028024, 0x8f420240,
+0x8f430244, 0x8f4501a4, 0x14650006, 0x2048024,
+0x8f420260, 0x8f430264, 0x8f4401a8, 0x50640026,
+0x36100040, 0x8f420240, 0x8f430244, 0x8f440260,
+0x8f450264, 0x3a100040, 0xaf4301a4, 0x1000001e,
+0xaf4501a8, 0x274200d4, 0x8c430000, 0x36100040,
+0x602021, 0x24630001, 0x10000013, 0x28840033,
+0x8f420004, 0x30420001, 0x10400009, 0x3c020400,
+0x2c21024, 0x10400004, 0x2402ffdf, 0x2028024,
+0x1000000d, 0x36100040, 0x1000000b, 0x36100060,
+0x274200d4, 0x8c430000, 0x36100040, 0x602021,
+0x24630001, 0x288401f5, 0x14800003, 0xac430000,
+0xaf4000d4, 0x3a100020, 0xaf900044, 0x8fbf0048,
+0x8fbe0044, 0x8fb50040, 0x8fb3003c, 0x8fb20038,
+0x8fb10034, 0x8fb00030, 0x2402ff7f, 0x282a024,
+0x3e00008, 0x27bd0050, 0x3c020001, 0x8c425038,
+0x27bdffb0, 0xafbf0048, 0xafbe0044, 0xafb50040,
+0xafb3003c, 0xafb20038, 0xafb10034, 0x104000e0,
+0xafb00030, 0x3c050001, 0x8ca55028, 0x274200d0,
+0xaf4500e8, 0x8c430000, 0x602021, 0x24630001,
+0x2884000b, 0x148000ff, 0xac430000, 0x8f420004,
+0x30420002, 0x14400093, 0xaf4000d0, 0x8f420004,
+0x34420002, 0xaf420004, 0x24020001, 0x14a20003,
+0x3c020600, 0x10000002, 0x34423000, 0x34421000,
+0xafa20020, 0x1821, 0x8f5e0018, 0x27aa0020,
+0x240200ff, 0x13c20002, 0xafaa0028, 0x27c30001,
+0x2021, 0x8c820228, 0x609021, 0x1642000e,
+0x1e40c0, 0x8f42032c, 0x24420001, 0xaf42032c,
+0x8c820228, 0x3c050009, 0x34a50500, 0xafa00014,
+0xafa20010, 0x8fa60020, 0x3c040001, 0x248441ec,
+0x1000006b, 0x3c03821, 0x2e81021, 0x8fab0020,
+0x8fac0024, 0xac4b04c0, 0xac4c04c4, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x1040001b, 0x9821, 0x1008821, 0x263504c0,
+0x8f440168, 0x8f45016c, 0x2204821, 0x240b0004,
+0xafab0010, 0xafb20014, 0x8f42000c, 0x4021,
+0x2f53021, 0xafa20018, 0x8f42010c, 0x24070008,
+0xa92821, 0xa9182b, 0x882021, 0x40f809,
+0x832021, 0x54400006, 0x24130001, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffe9, 0x0,
+0x326200ff, 0x54400015, 0xaf520018, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fac0028,
+0xafa20010, 0x8f820124, 0x3c040001, 0x248441f8,
+0x3c050009, 0xafa20014, 0x8d860000, 0x10000033,
+0x34a50600, 0x8f4202f8, 0x24130001, 0x24420001,
+0xaf4202f8, 0x1000001e, 0x326200ff, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x10400016, 0x9821, 0x3c150020, 0x24110010,
+0x8f42000c, 0x8f440150, 0x8f450154, 0x8f860120,
+0xafb10010, 0xafb20014, 0x551025, 0xafa20018,
+0x8f42010c, 0x24070008, 0x40f809, 0x24c6001c,
+0x1440ffe4, 0x0, 0x8f820054, 0x2021023,
+0x2c4203e9, 0x1440ffee, 0x0, 0x326200ff,
+0x14400011, 0x0, 0x8f420368, 0x24420001,
+0xaf420368, 0x8f820120, 0x8fad0028, 0xafa20010,
+0x8f820124, 0x3c040001, 0x24844200, 0x3c050009,
+0xafa20014, 0x8da60000, 0x34a50700, 0x3c03821,
+0xc002bdf, 0x0, 0x8f4202dc, 0x24420001,
+0xaf4202dc, 0x8f420004, 0x30420001, 0x10400033,
+0x3c020400, 0x2c21024, 0x10400017, 0x0,
+0x934205b0, 0x8f440240, 0x8f450244, 0x8f4301a4,
+0x34420020, 0x14a30006, 0xa34205b0, 0x8f420260,
+0x8f430264, 0x8f4401a8, 0x10640008, 0x0,
+0x8f420240, 0x8f430244, 0x934405b0, 0x8f460260,
+0x8f470264, 0x10000016, 0x38840040, 0x934205b0,
+0x1000004b, 0x304200bf, 0x934205b0, 0x8f440240,
+0x8f450244, 0x8f4301a4, 0x304200bf, 0x14a30006,
+0xa34205b0, 0x8f420260, 0x8f430264, 0x8f4401a8,
+0x1064000b, 0x0, 0x8f420240, 0x8f430244,
+0x934405b0, 0x8f460260, 0x8f470264, 0x38840020,
+0xaf4301a4, 0xaf4701a8, 0x10000036, 0xa34405b0,
+0x934205b0, 0x10000032, 0x34420020, 0x934205b0,
+0x274400d4, 0x34420020, 0xa34205b0, 0x8c820000,
+0x401821, 0x24420001, 0x10000024, 0x28630033,
+0x8f4200e4, 0x8f4300e0, 0x24420001, 0xaf4200e4,
+0x43102a, 0x14400006, 0x24030001, 0x8f4200e8,
+0x14430002, 0xaf4000e4, 0x24030004, 0xaf4300e8,
+0x8f420004, 0x30420001, 0x1040000c, 0x3c020400,
+0x2c21024, 0x10400006, 0x0, 0x934205b0,
+0x34420040, 0xa34205b0, 0x10000011, 0x304200df,
+0x934205b0, 0x1000000e, 0x34420060, 0x934205b0,
+0x274400d4, 0x34420020, 0xa34205b0, 0x8c820000,
+0x401821, 0x24420001, 0x286300fb, 0x14600005,
+0xac820000, 0x934205b0, 0xaf4000d4, 0x38420040,
+0xa34205b0, 0x934205b0, 0x8f4300e8, 0x3042007f,
+0xa34205b0, 0x24020001, 0x14620005, 0x0,
+0x934405b0, 0x42102, 0x10000003, 0x348400f0,
+0x934405b0, 0x3484000f, 0xc004f1c, 0x0,
+0x8fbf0048, 0x8fbe0044, 0x8fb50040, 0x8fb3003c,
+0x8fb20038, 0x8fb10034, 0x8fb00030, 0x2402ff7f,
+0x282a024, 0x3e00008, 0x27bd0050, 0x27bdffb0,
+0x274401b0, 0x26e30028, 0x24650400, 0x65102b,
+0xafbf0048, 0xafbe0044, 0xafb50040, 0xafb3003c,
+0xafb20038, 0xafb10034, 0x10400007, 0xafb00030,
+0x8c820000, 0xac620000, 0x24630004, 0x65102b,
+0x1440fffb, 0x24840004, 0x1021, 0x8c430080,
+0xaee30044, 0x8c4300c0, 0xaee30040, 0x8c430084,
+0xaee30030, 0x8c430084, 0xaee3023c, 0x8c430088,
+0xaee30240, 0x8c43008c, 0xaee30244, 0x8c430090,
+0xaee30248, 0x8c430094, 0xaee3024c, 0x8c430098,
+0xaee30250, 0x8c43009c, 0xaee30254, 0x8c4300a0,
+0xaee30258, 0x8c4300a4, 0xaee3025c, 0x8c4300a8,
+0xaee30260, 0x8c4300ac, 0xaee30264, 0x8c4300b0,
+0xaee30268, 0x8c4300b4, 0xaee3026c, 0x8c4300b8,
+0xaee30270, 0x8c4200bc, 0x24040001, 0xaee20274,
+0xaee00034, 0x41080, 0x2e21821, 0x8ee20034,
+0x8c63023c, 0x24840001, 0x431021, 0xaee20034,
+0x2c82000f, 0x1440fff8, 0x41080, 0x8021,
+0x8e0200cc, 0xaee20048, 0x8e0200d0, 0xaee2004c,
+0x8e0200e0, 0xaee201f8, 0x8e0200e4, 0xaee201fc,
+0x8e0200e8, 0xaee20200, 0x8e0200ec, 0xaee20204,
+0x8e0200f0, 0xaee20208, 0x8ee400c0, 0x8ee500c4,
+0x8e0200fc, 0x45102b, 0x1040000b, 0x0,
+0x8ee200c0, 0x8ee300c4, 0x24040001, 0x24050000,
+0x651821, 0x65302b, 0x441021, 0x461021,
+0xaee200c0, 0xaee300c4, 0x8e0300fc, 0x8ee400c0,
+0x8ee500c4, 0x2408ffff, 0x24090000, 0x1021,
+0x882024, 0xa92824, 0x822025, 0xa32825,
+0xaee400c0, 0xaee500c4, 0x8ee400d0, 0x8ee500d4,
+0x8e0200f4, 0x45102b, 0x1040000b, 0x0,
+0x8ee200d0, 0x8ee300d4, 0x24040001, 0x24050000,
+0x651821, 0x65302b, 0x441021, 0x461021,
+0xaee200d0, 0xaee300d4, 0x8e0300f4, 0x8ee400d0,
+0x8ee500d4, 0x1021, 0x882024, 0xa92824,
+0x822025, 0xa32825, 0xaee400d0, 0xaee500d4,
+0x8ee400c8, 0x8ee500cc, 0x8e0200f8, 0x45102b,
+0x1040000b, 0x0, 0x8ee200c8, 0x8ee300cc,
+0x24040001, 0x24050000, 0x651821, 0x65302b,
+0x441021, 0x461021, 0xaee200c8, 0xaee300cc,
+0x8e0300f8, 0x8ee400c8, 0x8ee500cc, 0x1021,
+0x882024, 0xa92824, 0x822025, 0xa32825,
+0x24020008, 0xaee400c8, 0xaee500cc, 0xafa20010,
+0xafa00014, 0x8f42000c, 0xafa20018, 0x8e040208,
+0x8e05020c, 0x8f42010c, 0x26e60028, 0x40f809,
+0x24070400, 0x104000e8, 0x3c020400, 0xafa20020,
+0x934305b7, 0x10600085, 0x27aa0020, 0x1821,
+0x8f5e0018, 0x240200ff, 0x13c20002, 0xafaa0028,
+0x27c30001, 0x8e020228, 0x609021, 0x1642000d,
+0x1e40c0, 0x8f42032c, 0x24420001, 0xaf42032c,
+0x8e020228, 0x3c040001, 0x248441ec, 0x3c050009,
+0xafa00014, 0xafa20010, 0x8fa60020, 0x10000068,
+0x34a50500, 0x2e81021, 0x8fab0020, 0x8fac0024,
+0xac4b04c0, 0xac4c04c4, 0x8f830054, 0x8f820054,
+0x247003e8, 0x2021023, 0x2c4203e9, 0x1040001b,
+0x9821, 0x1008821, 0x263504c0, 0x8f440168,
+0x8f45016c, 0x2204821, 0x240b0004, 0xafab0010,
+0xafb20014, 0x8f42000c, 0x4021, 0x2f53021,
+0xafa20018, 0x8f42010c, 0x24070008, 0xa92821,
+0xa9182b, 0x882021, 0x40f809, 0x832021,
+0x54400006, 0x24130001, 0x8f820054, 0x2021023,
+0x2c4203e9, 0x1440ffe9, 0x0, 0x326200ff,
+0x54400015, 0xaf520018, 0x8f420368, 0x24420001,
+0xaf420368, 0x8f820120, 0x8fac0028, 0xafa20010,
+0x8f820124, 0x3c040001, 0x248441f8, 0x3c050009,
+0xafa20014, 0x8d860000, 0x10000031, 0x34a50600,
+0x8f4202f8, 0x24130001, 0x24420001, 0xaf4202f8,
+0x1000001c, 0x326200ff, 0x8f830054, 0x8f820054,
+0x247003e8, 0x2021023, 0x2c4203e9, 0x10400014,
+0x9821, 0x24110010, 0x8f42000c, 0x8f440150,
+0x8f450154, 0x8f860120, 0xafb10010, 0xafb20014,
+0xafa20018, 0x8f42010c, 0x24070008, 0x40f809,
+0x24c6001c, 0x1440ffe6, 0x0, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffef, 0x0,
+0x326200ff, 0x54400011, 0x24020001, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fad0028,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24844200,
+0x3c050009, 0xafa20014, 0x8da60000, 0x34a50700,
+0xc002bdf, 0x3c03821, 0x1021, 0x14400059,
+0x24020001, 0x10000062, 0xa34205b7, 0x8f510018,
+0x240200ff, 0x12220002, 0x8021, 0x26300001,
+0x8c620228, 0x1602000d, 0x1130c0, 0x8f42032c,
+0x24420001, 0xaf42032c, 0x8c620228, 0x3c040001,
+0x248441d4, 0x3c050009, 0xafa00014, 0xafa20010,
+0x8fa60020, 0x1000003e, 0x34a50100, 0xc04821,
+0x2e61021, 0x8faa0020, 0x8fab0024, 0xac4a04c0,
+0xac4b04c4, 0x8f440168, 0x8f45016c, 0x4021,
+0x24020004, 0xafa20010, 0xafb00014, 0x8f42000c,
+0x24c604c0, 0x2e63021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x1440000b, 0x24070008,
+0x8f820120, 0xafa20010, 0x8f820124, 0x3c040001,
+0x248441dc, 0x3c050009, 0xafa20014, 0x8fa60020,
+0x1000001b, 0x34a50200, 0x8f440150, 0x8f450154,
+0x8f43000c, 0xaf500018, 0x8f860120, 0x24020010,
+0xafa20010, 0xafb00014, 0xafa30018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x54400010, 0x24020001,
+0x8f420330, 0x24420001, 0xaf420330, 0x8f820120,
+0xafa20010, 0x8f820124, 0x3c040001, 0x248441e4,
+0x3c050009, 0xafa20014, 0x8fa60020, 0x34a50300,
+0xc002bdf, 0x2203821, 0x1021, 0x1040000b,
+0x24020001, 0x8f4202d8, 0xa34005b7, 0xaf4001a0,
+0x24420001, 0xaf4202d8, 0x8ee20150, 0x24420001,
+0x10000003, 0xaee20150, 0x24020001, 0xa34205b7,
+0x8fbf0048, 0x8fbe0044, 0x8fb50040, 0x8fb3003c,
+0x8fb20038, 0x8fb10034, 0x8fb00030, 0x3e00008,
+0x27bd0050, 0x27bdffd8, 0xafbf0024, 0xafb00020,
+0x8f8200b0, 0x30420004, 0x10400067, 0x0,
+0x8f43011c, 0x8f820104, 0x14620005, 0x0,
+0x8f430124, 0x8f8200b4, 0x10620006, 0x0,
+0x8f820104, 0xaf42011c, 0x8f8200b4, 0x1000005a,
+0xaf420124, 0x8f8300b0, 0x3c020080, 0x628024,
+0x1200000d, 0x0, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f8200b0, 0x2403fffb, 0x431024,
+0xaf8200b0, 0x8f82011c, 0x2403fffd, 0x431024,
+0x10000049, 0xaf82011c, 0x8f43011c, 0x8f820104,
+0x14620005, 0x0, 0x8f430124, 0x8f8200b4,
+0x10620010, 0x0, 0x8f820104, 0xaf42011c,
+0x8f8200b4, 0x8f43011c, 0xaf420124, 0xafa30010,
+0x8f420124, 0x3c040001, 0x2484423c, 0xafa20014,
+0x8f86011c, 0x8f8700b0, 0x3c050005, 0x10000030,
+0x34a50900, 0x8f42011c, 0xafa20010, 0x8f420124,
+0x3c040001, 0x24844248, 0xafa20014, 0x8f86011c,
+0x8f8700b0, 0x3c050005, 0xc002bdf, 0x34a51000,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f830104,
+0x8f8200b0, 0x34420001, 0xaf8200b0, 0xaf830104,
+0x8e040208, 0x8e05020c, 0x24020008, 0xafa20010,
+0xafa00014, 0x8f42000c, 0xafa20018, 0x8f42010c,
+0x26e60028, 0x40f809, 0x24070400, 0x8f82011c,
+0x2403fffd, 0x431024, 0xaf82011c, 0x8ee201dc,
+0x24420001, 0xaee201dc, 0x8f42011c, 0xafa20010,
+0x8f420124, 0x3c040001, 0x24844254, 0xafa20014,
+0x8f86011c, 0x8f8700b0, 0x3c050005, 0x34a51100,
+0xc002bdf, 0x0, 0x8f8200a0, 0x30420004,
+0x10400068, 0x0, 0x8f430120, 0x8f820124,
+0x14620005, 0x0, 0x8f430128, 0x8f8200a4,
+0x10620006, 0x0, 0x8f820124, 0xaf420120,
+0x8f8200a4, 0x1000005b, 0xaf420128, 0x8f8300a0,
+0x3c020080, 0x628024, 0x1200000d, 0x0,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f8200a0,
+0x2403fffb, 0x431024, 0xaf8200a0, 0x8f82011c,
+0x2403fffd, 0x431024, 0x1000004a, 0xaf82011c,
+0x8f430120, 0x8f820124, 0x14620005, 0x0,
+0x8f430128, 0x8f8200a4, 0x10620010, 0x0,
+0x8f820124, 0xaf420120, 0x8f8200a4, 0x8f430120,
+0xaf420128, 0xafa30010, 0x8f420128, 0x3c040001,
+0x24844260, 0xafa20014, 0x8f86011c, 0x8f8700a0,
+0x3c050005, 0x10000031, 0x34a51200, 0x8f420120,
+0xafa20010, 0x8f420128, 0x3c040001, 0x2484426c,
+0xafa20014, 0x8f86011c, 0x8f8700a0, 0x3c050005,
+0xc002bdf, 0x34a51300, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f830124, 0x8f8200a0, 0x34420001,
+0xaf8200a0, 0xaf830124, 0x8e040208, 0x8e05020c,
+0x24020080, 0xafa20010, 0xafa00014, 0x8f420014,
+0xafa20018, 0x8f420108, 0x3c060001, 0x24c65124,
+0x40f809, 0x24070004, 0x8f82011c, 0x2403fffd,
+0x431024, 0xaf82011c, 0x8ee201dc, 0x24420001,
+0xaee201dc, 0x8f420120, 0xafa20010, 0x8f420128,
+0x3c040001, 0x24844278, 0xafa20014, 0x8f86011c,
+0x8f8700a0, 0x3c050005, 0x34a51400, 0xc002bdf,
+0x0, 0x8fbf0024, 0x8fb00020, 0x3e00008,
+0x27bd0028, 0x3c091000, 0x24080001, 0x3c070080,
+0x3021, 0x3c050100, 0x8f820070, 0x491024,
+0x1040fffd, 0x0, 0x8f820054, 0x1821,
+0x24420005, 0xaf820078, 0x8c640234, 0x10800016,
+0x0, 0x3c020001, 0x571021, 0x8c4240e8,
+0x24420005, 0x3c010001, 0x370821, 0xac2240e8,
+0x3c020001, 0x571021, 0x8c4240e8, 0x44102b,
+0x14400009, 0x0, 0x3c030080, 0x3c010001,
+0x370821, 0xac2040e8, 0x3c010001, 0x370821,
+0x1000000b, 0xa02840f0, 0x3c020001, 0x571021,
+0x904240f0, 0x54400006, 0x671825, 0x3c020001,
+0x571021, 0x904240f1, 0x54400001, 0x671825,
+0x8cc40230, 0x10800013, 0x0, 0x3c020001,
+0x571021, 0x8c4240ec, 0x24420005, 0x3c010001,
+0x370821, 0xac2240ec, 0x3c020001, 0x571021,
+0x8c4240ec, 0x44102b, 0x14400006, 0x0,
+0x3c010001, 0x370821, 0xac2040ec, 0x10000006,
+0x651825, 0x3c020001, 0x571021, 0x904240f2,
+0x54400001, 0x651825, 0x1060ffbb, 0x0,
+0x8f420000, 0x10400007, 0x0, 0xaf80004c,
+0x8f82004c, 0x1040fffd, 0x0, 0x10000005,
+0x0, 0xaf800048, 0x8f820048, 0x1040fffd,
+0x0, 0x8f820060, 0x431025, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x1000ffa6,
+0xaf80004c, 0x1000ffa4, 0xaf800048, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x27bdffe0, 0xafbf0018, 0x8f820040,
+0x3c03f000, 0x431024, 0x3c036000, 0x14430007,
+0x240201e9, 0x8f820050, 0x2403ff80, 0x431024,
+0x34420055, 0x10000014, 0xaf820050, 0x3c040001,
+0x24844224, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74234, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8f820054, 0x244203e8,
+0xaf820058, 0x240201f4, 0xaf4200e0, 0x24020004,
+0xaf4200e8, 0x24020002, 0xaf4001a0, 0xaf4000e4,
+0xaf4200dc, 0xaf4000d8, 0xaf4000d4, 0xaf4000d0,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x8f820054,
+0x24420005, 0x3e00008, 0xaf820078, 0x3e00008,
+0x0, 0x0, 0x0, 0x27bdffd8,
+0xafbf0020, 0xafb1001c, 0xafb00018, 0x8f860064,
+0x30c20004, 0x10400025, 0x2821, 0x24040004,
+0x8ca20114, 0xaf420020, 0xaf840064, 0x8f4202ec,
+0x24420001, 0xaf4202ec, 0x8f820064, 0x30420004,
+0x14400005, 0x0, 0x8c430114, 0x8f420020,
+0x1462fff3, 0x0, 0x8f420000, 0x10400007,
+0x8f43003c, 0xaf80004c, 0x8f82004c, 0x1040fffd,
+0x0, 0x10000005, 0x0, 0xaf800048,
+0x8f820048, 0x1040fffd, 0x0, 0x8f820060,
+0x431025, 0xaf820060, 0x8f420000, 0x10400071,
+0x0, 0x1000006d, 0x0, 0x30c20008,
+0x1040001f, 0x24040008, 0x8ca2011c, 0xaf420048,
+0xaf840064, 0x8f420298, 0x24420001, 0xaf420298,
+0x8f820064, 0x30420008, 0x14400005, 0x0,
+0x8c43011c, 0x8f420048, 0x1462fff3, 0x0,
+0x8f420000, 0x10400007, 0x0, 0xaf80004c,
+0x8f82004c, 0x1040fffd, 0x0, 0x10000005,
+0x0, 0xaf800048, 0x8f820048, 0x1040fffd,
+0x0, 0x8f820060, 0x1000ffda, 0x34420200,
+0x30c20020, 0x10400023, 0x2821, 0x24040020,
+0x8ca2012c, 0xaf420068, 0xaf840064, 0x8f4202c8,
+0x24420001, 0xaf4202c8, 0x8f820064, 0x30420020,
+0x54400005, 0x32c24000, 0x8c43012c, 0x8f420068,
+0x1462fff3, 0x32c24000, 0x14400002, 0x3c020001,
+0x2c2b025, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x1000ffb5,
+0x34420800, 0x30c20010, 0x10400028, 0x24040010,
+0x8ca20124, 0xaf420058, 0xaf840064, 0x8f4202c4,
+0x24420001, 0xaf4202c4, 0x8f820064, 0x30420010,
+0x54400005, 0x32c22000, 0x8c430124, 0x8f420058,
+0x1462fff3, 0x32c22000, 0x50400001, 0x36d68000,
+0x8f420000, 0x10400007, 0x0, 0xaf80004c,
+0x8f82004c, 0x1040fffd, 0x0, 0x10000005,
+0x0, 0xaf800048, 0x8f820048, 0x1040fffd,
+0x0, 0x8f820060, 0x34420100, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x1000006a,
+0xaf80004c, 0x10000068, 0xaf800048, 0x30c30001,
+0x10600004, 0x24020001, 0xaf820064, 0x10000062,
+0x0, 0x30c20002, 0x1440000b, 0x3c050003,
+0x3c040001, 0x24844344, 0x34a50500, 0x3821,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x2402ffc0,
+0x10000055, 0xaf820064, 0x8c70022c, 0x8821,
+0x8e22010c, 0x12020045, 0x101080, 0x8c430300,
+0x26020001, 0x3050003f, 0x24020003, 0xae30022c,
+0x32602, 0x10820005, 0x24020010, 0x1082001c,
+0x30620fff, 0x10000037, 0x0, 0x8f420298,
+0x8f440000, 0x30630fff, 0xaf430048, 0x24420001,
+0x10800007, 0xaf420298, 0xaf80004c, 0x8f82004c,
+0x1040fffd, 0x0, 0x10000005, 0x0,
+0xaf800048, 0x8f820048, 0x1040fffd, 0x0,
+0x8f820060, 0x34420200, 0xaf820060, 0x8f420000,
+0x1040001e, 0x0, 0x1000001a, 0x0,
+0xaf420058, 0x32c22000, 0x50400001, 0x36d68000,
+0x8f4202c4, 0x8f430000, 0x24420001, 0x10600007,
+0xaf4202c4, 0xaf80004c, 0x8f82004c, 0x1040fffd,
+0x0, 0x10000005, 0x0, 0xaf800048,
+0x8f820048, 0x1040fffd, 0x0, 0x8f820060,
+0x34420100, 0xaf820060, 0x8f420000, 0x10400003,
+0x0, 0x10000005, 0xaf80004c, 0x10000003,
+0xaf800048, 0xc002138, 0x602021, 0x8e22010c,
+0x16020002, 0x24020002, 0xaf820064, 0x8f820064,
+0x30420002, 0x14400004, 0x0, 0x8c42010c,
+0x1602ffaf, 0x0, 0x8fbf0020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0028, 0x27bdffa8,
+0xafb1003c, 0x808821, 0x111602, 0x2443ffff,
+0x2c620013, 0xafbf0050, 0xafbe004c, 0xafb50048,
+0xafb30044, 0xafb20040, 0x104001e6, 0xafb00038,
+0x31080, 0x3c010001, 0x220821, 0x8c224388,
+0x400008, 0x0, 0x111302, 0x30450fff,
+0x24020001, 0x10a20005, 0x24020002, 0x10a2000c,
+0x3c040fff, 0x10000025, 0x3c050003, 0x8f430004,
+0x3c020001, 0x8c425150, 0x3c040fff, 0x3484ffff,
+0xaf4501f0, 0xaf4501f4, 0x10000009, 0x34630001,
+0x8f430004, 0x3484ffff, 0x2402fffe, 0xaf4501f0,
+0xaf4501f4, 0x621824, 0x3c020001, 0x2442f510,
+0x441024, 0x21082, 0xaf430004, 0x3c030800,
+0x431025, 0x3c010000, 0xac224138, 0x8f840054,
+0x41442, 0x41c82, 0x431021, 0x41cc2,
+0x431023, 0x41d02, 0x431021, 0x41d42,
+0x431023, 0x10000009, 0xaf4201f8, 0x3c040001,
+0x24844350, 0x34a51000, 0x2203021, 0x3821,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x8f420290,
+0x24420001, 0x1000020f, 0xaf420290, 0x27b00028,
+0x2002021, 0x24050210, 0xc002c1b, 0x24060008,
+0xc00248c, 0x2002021, 0x10000206, 0x0,
+0x3021, 0x8cc7022c, 0x27a40028, 0x71880,
+0x24e20001, 0x3047003f, 0x8c650300, 0x71080,
+0x8c430300, 0x24e20001, 0x3042003f, 0xacc2022c,
+0xafa50028, 0xc00248c, 0xafa3002c, 0x100001f5,
+0x0, 0x27b00028, 0x2002021, 0x24050210,
+0xc002c1b, 0x24060008, 0xc0025c8, 0x2002021,
+0x100001ec, 0x0, 0x3021, 0x8cc7022c,
+0x27a40028, 0x71880, 0x24e20001, 0x3047003f,
+0x8c650300, 0x71080, 0x8c430300, 0x24e20001,
+0x3042003f, 0xacc2022c, 0xafa50028, 0xc0025c8,
+0xafa3002c, 0x100001db, 0x0, 0x111302,
+0x30430fff, 0x24020001, 0x10620005, 0x24020002,
+0x1062001e, 0x3c050003, 0x10000034, 0x34a51100,
+0x3c030002, 0x2c31024, 0x54400037, 0x2c3b025,
+0x8f820228, 0x3c010001, 0x370821, 0xac2238d8,
+0x8f82022c, 0x3c010001, 0x370821, 0xac2238dc,
+0x8f820230, 0x3c010001, 0x370821, 0xac2238e0,
+0x8f820234, 0x3c010001, 0x370821, 0xac2238e4,
+0x2402ffff, 0xaf820228, 0xaf82022c, 0xaf820230,
+0xaf820234, 0x10000020, 0x2c3b025, 0x3c020002,
+0x2c21024, 0x10400012, 0x3c02fffd, 0x3c020001,
+0x571021, 0x8c4238d8, 0xaf820228, 0x3c020001,
+0x571021, 0x8c4238dc, 0xaf82022c, 0x3c020001,
+0x571021, 0x8c4238e0, 0xaf820230, 0x3c020001,
+0x571021, 0x8c4238e4, 0xaf820234, 0x3c02fffd,
+0x3442ffff, 0x10000008, 0x2c2b024, 0x3c040001,
+0x2484435c, 0x2203021, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x8f4202bc, 0x24420001,
+0x10000194, 0xaf4202bc, 0x111302, 0x30450fff,
+0x24020001, 0x10a20005, 0x24020002, 0x10a2000d,
+0x3c0408ff, 0x10000014, 0x3c050003, 0x3c0208ff,
+0x3442ffff, 0x8f830220, 0x3c040004, 0x2c4b025,
+0x621824, 0x34630008, 0xaf830220, 0x10000012,
+0xaf450288, 0x3484fff7, 0x3c03fffb, 0x8f820220,
+0x3463ffff, 0x2c3b024, 0x441024, 0xaf820220,
+0x10000009, 0xaf450288, 0x3c040001, 0x24844368,
+0x34a51200, 0x2203021, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x8f4202ac, 0x24420001,
+0x1000016c, 0xaf4202ac, 0x27840208, 0x24050200,
+0xc002c1b, 0x24060008, 0x27440214, 0x24050200,
+0xc002c1b, 0x24060008, 0x8f4202b4, 0x24420001,
+0x10000160, 0xaf4202b4, 0x111302, 0x30500fff,
+0x24020001, 0x12020012, 0x2a020002, 0x10400005,
+0x24020002, 0x12000007, 0x0, 0x10000019,
+0x0, 0x12020011, 0x1021, 0x10000015,
+0x0, 0x8e060248, 0x2021, 0xc004c80,
+0x24050004, 0x8e06024c, 0x1000000a, 0x2021,
+0x1021, 0x8c460248, 0x402021, 0xc004c80,
+0x24050004, 0x10000010, 0x0, 0x8c46024c,
+0x2021, 0xc004c80, 0x24050001, 0x1000000a,
+0x0, 0x3c040001, 0x24844374, 0x3c050003,
+0x34a51300, 0x2203021, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x8f4202b0, 0x24420001,
+0x10000130, 0xaf4202b0, 0xc00239b, 0x0,
+0x1000012c, 0x0, 0x24020001, 0xa34205b6,
+0x24100100, 0x8f440198, 0x8f45019c, 0xafb00010,
+0xafa00014, 0x8f420014, 0xafa20018, 0x8f420108,
+0x26e60028, 0x40f809, 0x24070400, 0x1040fff5,
+0x0, 0x1000011b, 0x0, 0x3c02ffff,
+0x34427fff, 0x2c2b024, 0x1821, 0x3c020900,
+0xaf400058, 0xaf40005c, 0xaf400060, 0xaf400064,
+0xaf400350, 0xafa20020, 0x8f5e0018, 0x27aa0020,
+0x240200ff, 0x13c20002, 0xafaa0030, 0x27c30001,
+0x2021, 0x8c820228, 0x609021, 0x1642000e,
+0x1e40c0, 0x8f42032c, 0x24420001, 0xaf42032c,
+0x8c820228, 0x3c050009, 0x34a50500, 0xafa00014,
+0xafa20010, 0x8fa60020, 0x3c040001, 0x2484430c,
+0x10000069, 0x3c03821, 0x2e81021, 0x8fab0020,
+0x8fac0024, 0xac4b04c0, 0xac4c04c4, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x1040001b, 0x9821, 0x1008821, 0x263504c0,
+0x8f440168, 0x8f45016c, 0x2204821, 0x240b0004,
+0xafab0010, 0xafb20014, 0x8f42000c, 0x4021,
+0x2f53021, 0xafa20018, 0x8f42010c, 0x24070008,
+0xa92821, 0xa9182b, 0x882021, 0x40f809,
+0x832021, 0x54400006, 0x24130001, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffe9, 0x0,
+0x326200ff, 0x54400015, 0xaf520018, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fac0030,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24844318,
+0x3c050009, 0xafa20014, 0x8d860000, 0x10000031,
+0x34a50600, 0x8f4202f8, 0x24130001, 0x24420001,
+0xaf4202f8, 0x1000001c, 0x326200ff, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x10400014, 0x9821, 0x24110010, 0x8f42000c,
+0x8f440150, 0x8f450154, 0x8f860120, 0xafb10010,
+0xafb20014, 0xafa20018, 0x8f42010c, 0x24070008,
+0x40f809, 0x24c6001c, 0x1440ffe6, 0x0,
+0x8f820054, 0x2021023, 0x2c4203e9, 0x1440ffef,
+0x0, 0x326200ff, 0x14400011, 0x0,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8fad0030, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24844320, 0x3c050009, 0xafa20014, 0x8da60000,
+0x34a50700, 0x3c03821, 0xc002bdf, 0x0,
+0x8f4202a0, 0x24420001, 0xaf4202a0, 0x8f4202e8,
+0x24420001, 0x10000087, 0xaf4202e8, 0x1821,
+0x8c62025c, 0x27440214, 0xaf4201e0, 0x8c620260,
+0x24050200, 0x24060008, 0xc002c1b, 0xaf4201e8,
+0x8f820220, 0x30420008, 0x14400002, 0x24020001,
+0x24020002, 0xaf420288, 0x8f42029c, 0x24420001,
+0x10000074, 0xaf42029c, 0x3c0200ff, 0x3442ffff,
+0x2221824, 0x32c20180, 0x14400006, 0x3402fffb,
+0x43102b, 0x14400003, 0x0, 0x10000069,
+0xaf4300bc, 0x3c040001, 0x24844380, 0x3c050003,
+0x34a51500, 0x2203021, 0x3821, 0xafa00010,
+0xc002bdf, 0xafa00014, 0x3c020700, 0x34421000,
+0x111e02, 0x621825, 0xafa30020, 0x8f510018,
+0x240200ff, 0x12220002, 0x8021, 0x26300001,
+0x1821, 0x8c620228, 0x1602000d, 0x1130c0,
+0x8f42032c, 0x24420001, 0xaf42032c, 0x8c620228,
+0x3c040001, 0x248442f4, 0x3c050009, 0xafa00014,
+0xafa20010, 0x8fa60020, 0x1000003e, 0x34a50100,
+0xc04821, 0x2e61021, 0x8faa0020, 0x8fab0024,
+0xac4a04c0, 0xac4b04c4, 0x8f440168, 0x8f45016c,
+0x4021, 0x24020004, 0xafa20010, 0xafb00014,
+0x8f42000c, 0x24c604c0, 0x2e63021, 0xafa20018,
+0x8f42010c, 0x24070008, 0xa92821, 0xa9182b,
+0x882021, 0x40f809, 0x832021, 0x1440000b,
+0x24070008, 0x8f820120, 0xafa20010, 0x8f820124,
+0x3c040001, 0x248442fc, 0x3c050009, 0xafa20014,
+0x8fa60020, 0x1000001b, 0x34a50200, 0x8f440150,
+0x8f450154, 0x8f43000c, 0xaf500018, 0x8f860120,
+0x24020010, 0xafa20010, 0xafb00014, 0xafa30018,
+0x8f42010c, 0x40f809, 0x24c6001c, 0x1440000f,
+0x0, 0x8f420330, 0x24420001, 0xaf420330,
+0x8f820120, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24844304, 0x3c050009, 0xafa20014, 0x8fa60020,
+0x34a50300, 0xc002bdf, 0x2203821, 0x8f4202d0,
+0x24420001, 0xaf4202d0, 0x8f4202e0, 0x24420001,
+0xaf4202e0, 0x8fbf0050, 0x8fbe004c, 0x8fb50048,
+0x8fb30044, 0x8fb20040, 0x8fb1003c, 0x8fb00038,
+0x3e00008, 0x27bd0058, 0x27bdffc0, 0xafbf0038,
+0xafbe0034, 0xafb50030, 0xafb3002c, 0xafb20028,
+0xafb10024, 0xafb00020, 0x8f870220, 0xafa70014,
+0x8f870200, 0xafa70018, 0x8f820220, 0x3c0308ff,
+0x3463ffff, 0x431024, 0x34420004, 0xaf820220,
+0x8f820200, 0x3c03c0ff, 0x3463ffff, 0x431024,
+0x34420004, 0xaf820200, 0x8f53034c, 0x8f550350,
+0x8f5e0354, 0x8f470358, 0xafa70010, 0x8f4202c0,
+0x274401b0, 0x24420001, 0xaf4202c0, 0x8f5002c0,
+0x8f5101f4, 0x8f5201f0, 0xc002c10, 0x24050400,
+0xaf53034c, 0xaf550350, 0xaf5e0354, 0x8fa70010,
+0x1821, 0xaf470358, 0xaf5002c0, 0xaf5101f4,
+0xaf5201f0, 0x8c62025c, 0x27440214, 0xaf4201e0,
+0x8c620260, 0x24050200, 0x24060008, 0xaf4201e8,
+0x24020006, 0xc002c1b, 0xaf4201e4, 0x3c023b9a,
+0x3442ca00, 0xaf4201ec, 0x240203e8, 0x24040002,
+0x24030001, 0xaf420284, 0xaf440280, 0xaf43028c,
+0x8f820220, 0x30420008, 0x10400004, 0x0,
+0xaf430288, 0x10000003, 0x3021, 0xaf440288,
+0x3021, 0x3c030001, 0x661821, 0x90634f90,
+0x3461021, 0x24c60001, 0xa043021c, 0x2cc2000f,
+0x1440fff8, 0x3461821, 0x24c60001, 0x8f820040,
+0x24040080, 0x802821, 0x21702, 0x24420030,
+0xa062021c, 0x3461021, 0xc002c10, 0xa040021c,
+0x8fa70014, 0x30e20004, 0x14400006, 0x0,
+0x8f820220, 0x3c0308ff, 0x3463fffb, 0x431024,
+0xaf820220, 0x8fa70018, 0x30e20004, 0x14400006,
+0x0, 0x8f820200, 0x3c03c0ff, 0x3463fffb,
+0x431024, 0xaf820200, 0x8fbf0038, 0x8fbe0034,
+0x8fb50030, 0x8fb3002c, 0x8fb20028, 0x8fb10024,
+0x8fb00020, 0x3e00008, 0x27bd0040, 0x2408ffff,
+0x10a00014, 0x4821, 0x3c0aedb8, 0x354a8320,
+0x90870000, 0x24840001, 0x3021, 0x1071026,
+0x30420001, 0x10400002, 0x81842, 0x6a1826,
+0x604021, 0x24c60001, 0x2cc20008, 0x1440fff7,
+0x73842, 0x25290001, 0x125102b, 0x1440fff0,
+0x0, 0x3e00008, 0x1001021, 0x3e00008,
+0x0, 0x0, 0x0, 0x27bdffe8,
+0xafbf0014, 0xafb00010, 0x8f420104, 0x28420005,
+0x10400026, 0x808021, 0x3c020001, 0x8f430104,
+0x344230d0, 0x2e23021, 0x318c0, 0x621821,
+0x2e33821, 0xc7102b, 0x10400015, 0x1021,
+0x96080000, 0x24c40006, 0x9482fffc, 0x14480009,
+0x2821, 0x9483fffe, 0x96020002, 0x14620006,
+0xa01021, 0x94820000, 0x96030004, 0x431026,
+0x2c450001, 0xa01021, 0x1440000a, 0x24c60008,
+0xc7102b, 0x1440fff0, 0x24840008, 0x1021,
+0x304200ff, 0x14400031, 0x24020001, 0x1000002f,
+0x1021, 0x1000fffa, 0x24020001, 0x2002021,
+0xc002414, 0x24050006, 0x3042007f, 0x218c0,
+0x2e31021, 0x3c010001, 0x220821, 0x942230d0,
+0x1040fff2, 0x2e31021, 0x3c060001, 0xc23021,
+0x94c630d0, 0x10c0001d, 0x1021, 0x3c080001,
+0x350834d2, 0x96070000, 0x610c0, 0x572021,
+0x882021, 0x94820000, 0x14470009, 0x2821,
+0x94830002, 0x96020002, 0x14620006, 0xa01021,
+0x94820004, 0x96030004, 0x431026, 0x2c450001,
+0xa01021, 0x14400007, 0x610c0, 0x2e21021,
+0x3c060001, 0xc23021, 0x94c634d0, 0x14c0ffeb,
+0x610c0, 0x10c0ffd1, 0x24020001, 0x8fbf0014,
+0x8fb00010, 0x3e00008, 0x27bd0018, 0x27bdffb0,
+0x801021, 0xafb00030, 0x24500002, 0x2002021,
+0x24050006, 0xafb10034, 0x408821, 0xafbf0048,
+0xafbe0044, 0xafb50040, 0xafb3003c, 0xc002414,
+0xafb20038, 0x3047007f, 0x710c0, 0x2e21021,
+0x3c050001, 0xa22821, 0x94a530d0, 0x10a0001c,
+0xa03021, 0x3c090001, 0x352934d2, 0x96280002,
+0x510c0, 0x572021, 0x892021, 0x94820000,
+0x14480009, 0x3021, 0x94830002, 0x96020002,
+0x14620006, 0xc01021, 0x94820004, 0x96030004,
+0x431026, 0x2c460001, 0xc01021, 0x14400007,
+0x510c0, 0x2e21021, 0x3c050001, 0xa22821,
+0x94a534d0, 0x14a0ffeb, 0x510c0, 0xa03021,
+0x10c00014, 0x610c0, 0x571821, 0x3c010001,
+0x230821, 0x8c2334d0, 0x571021, 0xafa30010,
+0x3c010001, 0x220821, 0x8c2234d4, 0x3c040001,
+0x24844494, 0xafa20014, 0x8e260000, 0x8e270004,
+0x3c050004, 0xc002bdf, 0x34a50400, 0x10000063,
+0x3c020800, 0x8f450100, 0x10a00006, 0x510c0,
+0x2e21021, 0x3c010001, 0x220821, 0x942234d0,
+0xaf420100, 0xa03021, 0x14c00011, 0x628c0,
+0x710c0, 0x2e21021, 0xafa70010, 0x3c010001,
+0x220821, 0x942230d0, 0x3c040001, 0x248444a0,
+0xafa20014, 0x8e260000, 0x8e270004, 0x3c050004,
+0xc002bdf, 0x34a50500, 0x10000048, 0x3c020800,
+0xb71821, 0x3c020001, 0x96040000, 0x344234d2,
+0x621821, 0xa4640000, 0x8e020002, 0x720c0,
+0xac620002, 0x2e41021, 0x3c030001, 0x621821,
+0x946330d0, 0x2e51021, 0x3c010001, 0x220821,
+0xa42334d0, 0x2e41021, 0x3c010001, 0x220821,
+0xa42630d0, 0x8f420104, 0x24420001, 0x28420080,
+0x1040000d, 0x3c040001, 0x8f420104, 0x348430d2,
+0x96030000, 0x210c0, 0x571021, 0x441021,
+0xa4430000, 0x8e030002, 0xac430002, 0x8f420104,
+0x24420001, 0xaf420104, 0x3c020002, 0x2c21024,
+0x10400012, 0x71942, 0x24020003, 0x431023,
+0x21080, 0x2e22821, 0xa01021, 0x30e4001f,
+0x3c030001, 0x621821, 0x8c6338d8, 0x24020001,
+0x821004, 0x621825, 0x3c010001, 0x250821,
+0xac2338d8, 0x1000000d, 0x3c020800, 0x24020003,
+0x431023, 0x21080, 0x3822821, 0xa01021,
+0x30e4001f, 0x8c430228, 0x24020001, 0x821004,
+0x621825, 0xaca30228, 0x3c020800, 0x34421000,
+0x1821, 0xafa20020, 0x8f5e0018, 0x27aa0020,
+0x240200ff, 0x13c20002, 0xafaa0028, 0x27c30001,
+0x2021, 0x8c820228, 0x609021, 0x1642000e,
+0x1e40c0, 0x8f42032c, 0x24420001, 0xaf42032c,
+0x8c820228, 0x3c050009, 0x34a50500, 0xafa00014,
+0xafa20010, 0x8fa60020, 0x3c040001, 0x2484445c,
+0x10000069, 0x3c03821, 0x2e81021, 0x8fab0020,
+0x8fac0024, 0xac4b04c0, 0xac4c04c4, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x1040001b, 0x9821, 0x1008821, 0x263504c0,
+0x8f440168, 0x8f45016c, 0x2204821, 0x240b0004,
+0xafab0010, 0xafb20014, 0x8f42000c, 0x4021,
+0x2f53021, 0xafa20018, 0x8f42010c, 0x24070008,
+0xa92821, 0xa9182b, 0x882021, 0x40f809,
+0x832021, 0x54400006, 0x24130001, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffe9, 0x0,
+0x326200ff, 0x54400015, 0xaf520018, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fac0028,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24844468,
+0x3c050009, 0xafa20014, 0x8d860000, 0x10000031,
+0x34a50600, 0x8f4202f8, 0x24130001, 0x24420001,
+0xaf4202f8, 0x1000001c, 0x326200ff, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x10400014, 0x9821, 0x24110010, 0x8f42000c,
+0x8f440150, 0x8f450154, 0x8f860120, 0xafb10010,
+0xafb20014, 0xafa20018, 0x8f42010c, 0x24070008,
+0x40f809, 0x24c6001c, 0x1440ffe6, 0x0,
+0x8f820054, 0x2021023, 0x2c4203e9, 0x1440ffef,
+0x0, 0x326200ff, 0x14400011, 0x0,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8fad0028, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24844470, 0x3c050009, 0xafa20014, 0x8da60000,
+0x34a50700, 0x3c03821, 0xc002bdf, 0x0,
+0x8f4202a4, 0x24420001, 0xaf4202a4, 0x8f4202e4,
+0x24420001, 0xaf4202e4, 0x8fbf0048, 0x8fbe0044,
+0x8fb50040, 0x8fb3003c, 0x8fb20038, 0x8fb10034,
+0x8fb00030, 0x3e00008, 0x27bd0050, 0x27bdffb0,
+0x801021, 0xafb00030, 0x24500002, 0x2002021,
+0x24050006, 0xafb10034, 0x408821, 0xafbf0048,
+0xafbe0044, 0xafb50040, 0xafb3003c, 0xc002414,
+0xafb20038, 0x3048007f, 0x810c0, 0x2e21021,
+0x3c060001, 0xc23021, 0x94c630d0, 0x3821,
+0x10c0001c, 0x27ab0028, 0x3c0a0001, 0x354a34d2,
+0x96290002, 0x610c0, 0x572021, 0x8a2021,
+0x94820000, 0x14490009, 0x2821, 0x94830002,
+0x96020002, 0x14620006, 0xa01021, 0x94820004,
+0x96030004, 0x431026, 0x2c450001, 0xa01021,
+0x14400008, 0x610c0, 0xc03821, 0x2e21021,
+0x3c060001, 0xc23021, 0x94c634d0, 0x14c0ffea,
+0x610c0, 0x14c00011, 0xad670000, 0x810c0,
+0x2e21021, 0xafa80010, 0x3c010001, 0x220821,
+0x942230d0, 0x3c040001, 0x248444ac, 0xafa20014,
+0x8e260000, 0x8e270004, 0x3c050004, 0xc002bdf,
+0x34a50900, 0x10000076, 0x3c020800, 0x8fa40028,
+0x1080000c, 0x610c0, 0x2e21021, 0x3c030001,
+0x621821, 0x946334d0, 0x410c0, 0x2e21021,
+0x3c010001, 0x220821, 0xa42334d0, 0x1000000b,
+0x3c040001, 0x2e21021, 0x3c030001, 0x621821,
+0x946334d0, 0x810c0, 0x2e21021, 0x3c010001,
+0x220821, 0xa42330d0, 0x3c040001, 0x348430d0,
+0x97430102, 0x610c0, 0x2e21021, 0x3c010001,
+0x220821, 0xa42334d0, 0x8f420104, 0x2e43821,
+0x2821, 0x18400028, 0xaf460100, 0x94e30002,
+0x96020000, 0x3021, 0x14620009, 0x24e40002,
+0x94830002, 0x96020002, 0x14620006, 0xc01021,
+0x94820004, 0x96030004, 0x431026, 0x2c460001,
+0xc01021, 0x50400014, 0x24a50001, 0x8f420104,
+0x2442ffff, 0xa2102a, 0x1040000b, 0x24e40004,
+0x94820006, 0x8c830008, 0xa482fffe, 0xac830000,
+0x8f420104, 0x24a50001, 0x2442ffff, 0xa2102a,
+0x1440fff7, 0x24840008, 0x8f420104, 0x2442ffff,
+0x10000005, 0xaf420104, 0x8f420104, 0xa2102a,
+0x1440ffda, 0x24e70008, 0x810c0, 0x2e21021,
+0x3c010001, 0x220821, 0x942230d0, 0x14400024,
+0x3c020800, 0x3c020002, 0x2c21024, 0x10400013,
+0x81942, 0x24020003, 0x431023, 0x21080,
+0x2e22821, 0xa01821, 0x3104001f, 0x24020001,
+0x3c010001, 0x230821, 0x8c2338d8, 0x821004,
+0x21027, 0x621824, 0x3c010001, 0x250821,
+0xac2338d8, 0x1000000e, 0x3c020800, 0x24020003,
+0x431023, 0x21080, 0x3822821, 0xa01821,
+0x3104001f, 0x24020001, 0x8c630228, 0x821004,
+0x21027, 0x621824, 0xaca30228, 0x3c020800,
+0x34422000, 0x1821, 0xafa20020, 0x8f5e0018,
+0x27ac0020, 0x240200ff, 0x13c20002, 0xafac002c,
+0x27c30001, 0x2021, 0x8c820228, 0x609021,
+0x1642000e, 0x1e40c0, 0x8f42032c, 0x24420001,
+0xaf42032c, 0x8c820228, 0x3c050009, 0x34a50500,
+0xafa00014, 0xafa20010, 0x8fa60020, 0x3c040001,
+0x2484445c, 0x10000069, 0x3c03821, 0x2e81021,
+0x8fad0020, 0x8fae0024, 0xac4d04c0, 0xac4e04c4,
+0x8f830054, 0x8f820054, 0x247003e8, 0x2021023,
+0x2c4203e9, 0x1040001b, 0x9821, 0x1008821,
+0x263504c0, 0x8f440168, 0x8f45016c, 0x2204821,
+0x240d0004, 0xafad0010, 0xafb20014, 0x8f42000c,
+0x4021, 0x2f53021, 0xafa20018, 0x8f42010c,
+0x24070008, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x54400006, 0x24130001,
+0x8f820054, 0x2021023, 0x2c4203e9, 0x1440ffe9,
+0x0, 0x326200ff, 0x54400015, 0xaf520018,
+0x8f420368, 0x24420001, 0xaf420368, 0x8f820120,
+0x8fae002c, 0xafa20010, 0x8f820124, 0x3c040001,
+0x24844468, 0x3c050009, 0xafa20014, 0x8dc60000,
+0x10000031, 0x34a50600, 0x8f4202f8, 0x24130001,
+0x24420001, 0xaf4202f8, 0x1000001c, 0x326200ff,
+0x8f830054, 0x8f820054, 0x247003e8, 0x2021023,
+0x2c4203e9, 0x10400014, 0x9821, 0x24110010,
+0x8f42000c, 0x8f440150, 0x8f450154, 0x8f860120,
+0xafb10010, 0xafb20014, 0xafa20018, 0x8f42010c,
+0x24070008, 0x40f809, 0x24c6001c, 0x1440ffe6,
+0x0, 0x8f820054, 0x2021023, 0x2c4203e9,
+0x1440ffef, 0x0, 0x326200ff, 0x14400011,
+0x0, 0x8f420368, 0x24420001, 0xaf420368,
+0x8f820120, 0x8faf002c, 0xafa20010, 0x8f820124,
+0x3c040001, 0x24844470, 0x3c050009, 0xafa20014,
+0x8de60000, 0x34a50700, 0x3c03821, 0xc002bdf,
+0x0, 0x8f4202a8, 0x24420001, 0xaf4202a8,
+0x8f4202e4, 0x24420001, 0xaf4202e4, 0x8fbf0048,
+0x8fbe0044, 0x8fb50040, 0x8fb3003c, 0x8fb20038,
+0x8fb10034, 0x8fb00030, 0x3e00008, 0x27bd0050,
+0xaf400104, 0x24040001, 0x410c0, 0x2e21821,
+0x24820001, 0x3c010001, 0x230821, 0xa42234d0,
+0x402021, 0x2c820080, 0x1440fff8, 0x410c0,
+0x24020001, 0x3c010001, 0x370821, 0xa42038d0,
+0xaf420100, 0xaf800228, 0xaf80022c, 0xaf800230,
+0x3e00008, 0xaf800234, 0x3e00008, 0x0,
+0x0, 0x0, 0x0, 0x27bdffe0,
+0x27644000, 0x24051000, 0xafbf001c, 0xc002c10,
+0xafb00018, 0x3c030001, 0x34632cc0, 0x3c040001,
+0x34842ec8, 0x24020020, 0xaf82011c, 0x2e31021,
+0xaf800100, 0xaf800104, 0xaf800108, 0xaf800110,
+0xaf800114, 0xaf800118, 0xaf800120, 0xaf800124,
+0xaf800128, 0xaf800130, 0xaf800134, 0xaf800138,
+0xaf4200ec, 0xaf4200f0, 0x2e41021, 0xaf4200f4,
+0xaf4200f8, 0x3c100001, 0x2178021, 0x921040f4,
+0x1600001c, 0x3c050001, 0x8f82011c, 0x3c040001,
+0x24844570, 0x3c050001, 0x34420001, 0xaf82011c,
+0xafa00010, 0xafa00014, 0x8f86011c, 0x34a50100,
+0xc002bdf, 0x3821, 0x8e020218, 0x30420040,
+0x10400014, 0x0, 0x8f82011c, 0x3c040001,
+0x2484457c, 0x3c050001, 0x34420004, 0xaf82011c,
+0xafa00010, 0xafa00014, 0x8f86011c, 0x10000007,
+0x34a50200, 0x3c040001, 0x24844584, 0xafa00010,
+0xafa00014, 0x8f86011c, 0x34a50300, 0xc002bdf,
+0x3821, 0x8fbf001c, 0x8fb00018, 0x3e00008,
+0x27bd0020, 0x27bdffd8, 0x3c040001, 0x2484459c,
+0x3c050001, 0xafbf0024, 0xafb20020, 0xafb1001c,
+0xafb00018, 0x8f900104, 0x8f9100b0, 0x8f92011c,
+0x34a52500, 0x8f820100, 0x2403021, 0x2203821,
+0xafa20010, 0xc002bdf, 0xafb00014, 0x8e020008,
+0xafa20010, 0x8e02000c, 0x3c040001, 0x248445a8,
+0xafa20014, 0x8e060000, 0x8e070004, 0x3c050001,
+0xc002bdf, 0x34a52510, 0x8e020018, 0xafa20010,
+0x8e02001c, 0x3c040001, 0x248445b4, 0xafa20014,
+0x8e060010, 0x8e070014, 0x3c050001, 0xc002bdf,
+0x34a52520, 0x3c027f00, 0x2221024, 0x3c030800,
+0x14430016, 0x3c030200, 0x8f82009c, 0x3042ffff,
+0x14400013, 0x2c31024, 0x3c040001, 0x248445c0,
+0x3c050002, 0x34a5f030, 0x3021, 0xc03821,
+0x36420002, 0xaf82011c, 0x36220001, 0xaf8200b0,
+0xaf900104, 0xaf92011c, 0xafa00010, 0xc002bdf,
+0xafa00014, 0x10000023, 0x0, 0x2c31024,
+0x1040000c, 0x2231024, 0x1040000a, 0x36420002,
+0xaf82011c, 0x36220001, 0xaf8200b0, 0xaf900104,
+0xaf92011c, 0x8f420320, 0x24420001, 0x10000015,
+0xaf420320, 0x3c040001, 0x2484458c, 0x240202a2,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74594, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x8fbf0024, 0x8fb20020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0028, 0x27bdffd8,
+0x3c040001, 0x248445c8, 0x3c050001, 0xafbf0024,
+0xafb20020, 0xafb1001c, 0xafb00018, 0x8f900124,
+0x8f9100a0, 0x8f92011c, 0x34a52600, 0x8f820120,
+0x2403021, 0x2203821, 0xafa20010, 0xc002bdf,
+0xafb00014, 0x8e020008, 0xafa20010, 0x8e02000c,
+0x3c040001, 0x248445d4, 0xafa20014, 0x8e060000,
+0x8e070004, 0x3c050001, 0xc002bdf, 0x34a52610,
+0x8e020018, 0xafa20010, 0x8e02001c, 0x3c040001,
+0x248445e0, 0xafa20014, 0x8e060010, 0x8e070014,
+0x3c050001, 0xc002bdf, 0x34a52620, 0x3c027f00,
+0x2221024, 0x3c030800, 0x14430016, 0x3c030200,
+0x8f8200ac, 0x3042ffff, 0x14400013, 0x2c31024,
+0x3c040001, 0x248445ec, 0x3c050001, 0x34a5f030,
+0x3021, 0xc03821, 0x36420002, 0xaf82011c,
+0x36220001, 0xaf8200a0, 0xaf900124, 0xaf92011c,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x10000023,
+0x0, 0x2c31024, 0x1040000c, 0x2231024,
+0x1040000a, 0x36420002, 0xaf82011c, 0x36220001,
+0xaf8200a0, 0xaf900124, 0xaf92011c, 0x8f42031c,
+0x24420001, 0x10000015, 0xaf42031c, 0x3c040001,
+0x2484458c, 0x240202db, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74594, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf0024,
+0x8fb20020, 0x8fb1001c, 0x8fb00018, 0x3e00008,
+0x27bd0028, 0x6021, 0x1805021, 0x1803021,
+0x1802821, 0x1806821, 0x1804821, 0x1807821,
+0x1807021, 0x8f880124, 0x8f870104, 0x1580002e,
+0x8f8b011c, 0x11a00014, 0x31620800, 0x8f820120,
+0x10460029, 0x0, 0x3c040001, 0x8c845130,
+0x8cc20000, 0x8cc30004, 0xac820000, 0xac830004,
+0x8cc20008, 0xac820008, 0x94c2000e, 0xa482000e,
+0x8cc20010, 0x240c0001, 0xac820010, 0x8cc20014,
+0x10000012, 0x24c60020, 0x10400017, 0x0,
+0x3c040001, 0x8c845130, 0x8d020000, 0x8d030004,
+0xac820000, 0xac830004, 0x8d020008, 0xac820008,
+0x9502000e, 0xa482000e, 0x8d020010, 0x25060020,
+0xac820010, 0x8d020014, 0x240c0001, 0xc01821,
+0xac820014, 0x27624fe0, 0x43102b, 0x54400001,
+0x27634800, 0x603021, 0x1540002f, 0x31620100,
+0x11200014, 0x31628000, 0x8f820100, 0x1045002a,
+0x31620100, 0x3c040001, 0x8c84512c, 0x8ca20000,
+0x8ca30004, 0xac820000, 0xac830004, 0x8ca20008,
+0xac820008, 0x94a2000e, 0xa482000e, 0x8ca20010,
+0x240a0001, 0xac820010, 0x8ca20014, 0x10000012,
+0x24a50020, 0x10400018, 0x31620100, 0x3c040001,
+0x8c84512c, 0x8ce20000, 0x8ce30004, 0xac820000,
+0xac830004, 0x8ce20008, 0xac820008, 0x94e2000e,
+0xa482000e, 0x8ce20010, 0x24e50020, 0xac820010,
+0x8ce20014, 0x240a0001, 0xa01821, 0xac820014,
+0x276247e0, 0x43102b, 0x54400001, 0x27634000,
+0x602821, 0x31620100, 0x1440001d, 0x31621000,
+0x11a00009, 0x31a20800, 0x10400004, 0x25020020,
+0x8f8200a8, 0xa5e20000, 0x25020020, 0xaf820124,
+0x8f880124, 0x6821, 0x11800011, 0x31621000,
+0x3c040001, 0x8c845130, 0x8c820000, 0x8c830004,
+0xaf820080, 0xaf830084, 0x8c820008, 0xaf8200a4,
+0x9482000e, 0xaf8200ac, 0x8c820010, 0x6021,
+0xaf8200a0, 0x8c8d0010, 0x8c8f0014, 0x31621000,
+0x1440ff82, 0x0, 0x1120000f, 0x31220800,
+0x10400004, 0x3c020002, 0x8f8200b8, 0xa5c20000,
+0x3c020002, 0x1221024, 0x10400004, 0x24e20020,
+0x8f8200b4, 0xaf8200d4, 0x24e20020, 0xaf820104,
+0x8f870104, 0x4821, 0x1140ff70, 0x0,
+0x3c040001, 0x8c84512c, 0x8c820000, 0x8c830004,
+0xaf820090, 0xaf830094, 0x8c820008, 0xaf8200b4,
+0x9482000e, 0xaf82009c, 0x8c820010, 0x5021,
+0xaf8200b0, 0x8c890010, 0x1000ff60, 0x8c8e0014,
+0x6021, 0x1805821, 0x1803021, 0x1802821,
+0x1806821, 0x1805021, 0x1807821, 0x1807021,
+0x8f880124, 0x8f870104, 0x3c180100, 0x1580002e,
+0x8f89011c, 0x11a00014, 0x31220800, 0x8f820120,
+0x10460029, 0x0, 0x3c040001, 0x8c845130,
+0x8cc20000, 0x8cc30004, 0xac820000, 0xac830004,
+0x8cc20008, 0xac820008, 0x94c2000e, 0xa482000e,
+0x8cc20010, 0x240c0001, 0xac820010, 0x8cc20014,
+0x10000012, 0x24c60020, 0x10400017, 0x0,
+0x3c040001, 0x8c845130, 0x8d020000, 0x8d030004,
+0xac820000, 0xac830004, 0x8d020008, 0xac820008,
+0x9502000e, 0xa482000e, 0x8d020010, 0x25060020,
+0xac820010, 0x8d020014, 0x240c0001, 0xc01821,
+0xac820014, 0x27624fe0, 0x43102b, 0x54400001,
+0x27634800, 0x603021, 0x1560002f, 0x31220100,
+0x11400014, 0x31228000, 0x8f820100, 0x1045002a,
+0x31220100, 0x3c040001, 0x8c84512c, 0x8ca20000,
+0x8ca30004, 0xac820000, 0xac830004, 0x8ca20008,
+0xac820008, 0x94a2000e, 0xa482000e, 0x8ca20010,
+0x240b0001, 0xac820010, 0x8ca20014, 0x10000012,
+0x24a50020, 0x10400018, 0x31220100, 0x3c040001,
+0x8c84512c, 0x8ce20000, 0x8ce30004, 0xac820000,
+0xac830004, 0x8ce20008, 0xac820008, 0x94e2000e,
+0xa482000e, 0x8ce20010, 0x24e50020, 0xac820010,
+0x8ce20014, 0x240b0001, 0xa01821, 0xac820014,
+0x276247e0, 0x43102b, 0x54400001, 0x27634000,
+0x602821, 0x31220100, 0x1440001d, 0x31221000,
+0x11a00009, 0x31a20800, 0x10400004, 0x25020020,
+0x8f8200a8, 0xa5e20000, 0x25020020, 0xaf820124,
+0x8f880124, 0x6821, 0x11800011, 0x31221000,
+0x3c040001, 0x8c845130, 0x8c820000, 0x8c830004,
+0xaf820080, 0xaf830084, 0x8c820008, 0xaf8200a4,
+0x9482000e, 0xaf8200ac, 0x8c820010, 0x6021,
+0xaf8200a0, 0x8c8d0010, 0x8c8f0014, 0x31221000,
+0x14400022, 0x0, 0x1140000f, 0x31420800,
+0x10400004, 0x3c020002, 0x8f8200b8, 0xa5c20000,
+0x3c020002, 0x1421024, 0x10400004, 0x24e20020,
+0x8f8200b4, 0xaf8200d4, 0x24e20020, 0xaf820104,
+0x8f870104, 0x5021, 0x11600010, 0x0,
+0x3c040001, 0x8c84512c, 0x8c820000, 0x8c830004,
+0xaf820090, 0xaf830094, 0x8c820008, 0xaf8200b4,
+0x9482000e, 0xaf82009c, 0x8c820010, 0x5821,
+0xaf8200b0, 0x8c8a0010, 0x8c8e0014, 0x8f820070,
+0x3c031000, 0x431024, 0x1040ff5c, 0x0,
+0x8f820054, 0x1821, 0x24420005, 0xaf820078,
+0x8c640234, 0x10800017, 0x0, 0x3c020001,
+0x571021, 0x8c4240e8, 0x24420005, 0x3c010001,
+0x370821, 0xac2240e8, 0x3c020001, 0x571021,
+0x8c4240e8, 0x44102b, 0x1440000a, 0x24020001,
+0x3c030080, 0x3c010001, 0x370821, 0xac2040e8,
+0x3c010001, 0x370821, 0xa02240f0, 0x1000000d,
+0x1021, 0x3c020001, 0x571021, 0x904240f0,
+0x14400006, 0x3c020080, 0x3c020001, 0x571021,
+0x904240f1, 0x10400002, 0x3c020080, 0x621825,
+0x1021, 0x8c440230, 0x10800013, 0x0,
+0x3c020001, 0x571021, 0x8c4240ec, 0x24420005,
+0x3c010001, 0x370821, 0xac2240ec, 0x3c020001,
+0x571021, 0x8c4240ec, 0x44102b, 0x14400006,
+0x0, 0x3c010001, 0x370821, 0xac2040ec,
+0x10000006, 0x781825, 0x3c020001, 0x571021,
+0x904240f2, 0x54400001, 0x781825, 0x1060ff17,
+0x0, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x431025,
+0xaf820060, 0x8f420000, 0x10400003, 0x0,
+0x1000ff02, 0xaf80004c, 0x1000ff00, 0xaf800048,
+0x27bdffc8, 0xafb30024, 0xafb20020, 0x809021,
+0xa09821, 0xafb50028, 0xc0a821, 0xafbf0030,
+0xafbe002c, 0xafb1001c, 0xafb00018, 0x8f900120,
+0x27624fe0, 0x16020003, 0xe0f021, 0x10000002,
+0x27714800, 0x26110020, 0x8f820128, 0x16220006,
+0x0, 0x8f430324, 0x1021, 0x24630001,
+0x10000028, 0xaf430324, 0x8f820124, 0x16220014,
+0x240201a7, 0x3c040001, 0x2484458c, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74594,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0xae120000, 0xae130004, 0xae150008, 0xa61e000e,
+0x8fa20048, 0xae020018, 0x8fa2004c, 0xae02001c,
+0x26020016, 0xae020014, 0x8fa20050, 0xae020010,
+0xaf910120, 0x8f4300fc, 0x24020001, 0x2463ffff,
+0xaf4300fc, 0x8fbf0030, 0x8fbe002c, 0x8fb50028,
+0x8fb30024, 0x8fb20020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0038, 0x3e00008, 0x0,
+0x27bdffd8, 0xafbf0020, 0xafb1001c, 0xafb00018,
+0x8f83012c, 0x8fb10038, 0x1060000a, 0x27624fe0,
+0x14620002, 0x24680020, 0x27684800, 0x8f820128,
+0x11020004, 0x0, 0x8f890124, 0x15090006,
+0x0, 0x8f430324, 0x1021, 0x24630001,
+0x10000053, 0xaf430324, 0xac640000, 0xac650004,
+0xac660008, 0xa467000e, 0xac710018, 0x8fa2003c,
+0xac62001c, 0x8fa20040, 0xac690014, 0xac620010,
+0xaf880120, 0x8f4200fc, 0x8f5000f4, 0x2442ffff,
+0xaf4200fc, 0x8e020000, 0x10510005, 0x3042ff8f,
+0x10400018, 0x3222ff8f, 0x10400017, 0x3c020001,
+0x8e030004, 0x2c620010, 0x10400012, 0x3c020001,
+0x24630001, 0xae030004, 0x8f4300f8, 0x344230c8,
+0x2e21021, 0x54620004, 0x24620008, 0x3c020001,
+0x34422ec8, 0x2e21021, 0x1450002d, 0x24020001,
+0x8f820128, 0x24420020, 0xaf820128, 0x10000028,
+0x24020001, 0x3c020001, 0x344230c8, 0x2e21021,
+0x16020004, 0x26030008, 0x3c020001, 0x34422ec8,
+0x2e21821, 0x8f4200f8, 0x608021, 0x12020004,
+0xaf5000f4, 0x8e020000, 0x10400016, 0x24020001,
+0x2402016d, 0x3c040001, 0x2484458c, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74594,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x24020001, 0xae110000, 0xae020004, 0x24020001,
+0x8fbf0020, 0x8fb1001c, 0x8fb00018, 0x3e00008,
+0x27bd0028, 0x3e00008, 0x0, 0x27bdffc8,
+0xafb30024, 0xafb20020, 0x809021, 0xa09821,
+0xafb50028, 0xc0a821, 0xafbf0030, 0xafbe002c,
+0xafb1001c, 0xafb00018, 0x8f900100, 0x276247e0,
+0x16020003, 0xe0f021, 0x10000002, 0x27714000,
+0x26110020, 0x8f820108, 0x16220006, 0x0,
+0x8f430328, 0x1021, 0x24630001, 0x10000025,
+0xaf430328, 0x8f820104, 0x16220014, 0x24020247,
+0x3c040001, 0x2484458c, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74594, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0xae120000,
+0xae130004, 0xae150008, 0xa61e000e, 0x8fa30048,
+0xae030018, 0x8fa3004c, 0xae03001c, 0x26030016,
+0xae030014, 0x8fa30050, 0x24020001, 0xae030010,
+0xaf910100, 0x8fbf0030, 0x8fbe002c, 0x8fb50028,
+0x8fb30024, 0x8fb20020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0038, 0x3e00008, 0x0,
+0x27bdffd8, 0xafbf0020, 0xafb1001c, 0xafb00018,
+0x8f83010c, 0x8fb10038, 0x1060000a, 0x276247e0,
+0x14620002, 0x24680020, 0x27684000, 0x8f820108,
+0x11020004, 0x0, 0x8f890104, 0x15090006,
+0x0, 0x8f430328, 0x1021, 0x24630001,
+0x1000004f, 0xaf430328, 0xac640000, 0xac650004,
+0xac660008, 0xa467000e, 0xac710018, 0x8fa2003c,
+0xac62001c, 0x8fa20040, 0xac690014, 0xac620010,
+0xaf880100, 0x8f5000ec, 0x8e020000, 0x30420006,
+0x10400018, 0x32220006, 0x10400017, 0x3c020001,
+0x8e030004, 0x2c620010, 0x10400012, 0x3c020001,
+0x24630001, 0xae030004, 0x8f4300f0, 0x34422ec0,
+0x2e21021, 0x54620004, 0x24620008, 0x3c020001,
+0x34422cc0, 0x2e21021, 0x1450002d, 0x24020001,
+0x8f820108, 0x24420020, 0xaf820108, 0x10000028,
+0x24020001, 0x3c020001, 0x34422ec0, 0x2e21021,
+0x16020004, 0x26030008, 0x3c020001, 0x34422cc0,
+0x2e21821, 0x8f4200f0, 0x608021, 0x12020004,
+0xaf5000ec, 0x8e020000, 0x10400016, 0x24020001,
+0x2402020d, 0x3c040001, 0x2484458c, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74594,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x24020001, 0xae110000, 0xae020004, 0x24020001,
+0x8fbf0020, 0x8fb1001c, 0x8fb00018, 0x3e00008,
+0x27bd0028, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x27bdffe0,
+0xafb10014, 0x3c110001, 0x8e314fb8, 0xafbf0018,
+0x16200012, 0xafb00010, 0x3c100001, 0x261051d0,
+0x2002021, 0xc002c10, 0x24052000, 0x26021fe0,
+0x3c010001, 0xac225138, 0x3c010001, 0xac225134,
+0xae220250, 0x24022000, 0xae300254, 0xae220258,
+0x24020001, 0x3c010001, 0xac224fb8, 0x8fbf0018,
+0x8fb10014, 0x8fb00010, 0x3e00008, 0x27bd0020,
+0x27bdffd8, 0xafb10014, 0x808821, 0xafb00010,
+0x3c100001, 0x8e105138, 0x3c020001, 0x8c424fa0,
+0x8fa40040, 0xafb20018, 0xa09021, 0xafbf0024,
+0xafb50020, 0xafb3001c, 0xae020000, 0x3c020001,
+0x8c424fa0, 0xc09821, 0xe0a821, 0x10800006,
+0xae020004, 0x26050008, 0xc002c04, 0x24060018,
+0x10000005, 0x2610ffe0, 0x26040008, 0xc002c10,
+0x24050018, 0x2610ffe0, 0x3c040001, 0x248451d0,
+0x204102b, 0x10400003, 0x0, 0x3c100001,
+0x8e105134, 0x8e220000, 0xae020000, 0x8e220004,
+0xae120008, 0xae020004, 0x8f830054, 0xae130010,
+0xae150014, 0x8fa20038, 0xae020018, 0x8fa2003c,
+0xae03000c, 0xae02001c, 0x2610ffe0, 0x204102b,
+0x10400003, 0x0, 0x3c100001, 0x8e105134,
+0x3c020001, 0x8c424fa0, 0xae020000, 0x3c020001,
+0x8c424fa0, 0x3c010001, 0xac305138, 0xae020004,
+0x1021, 0xac500250, 0x8fbf0024, 0x8fb50020,
+0x8fb3001c, 0x8fb20018, 0x8fb10014, 0x8fb00010,
+0x3e00008, 0x27bd0028, 0x3c090001, 0x8d295138,
+0x8c820000, 0x8fa30010, 0x8fa80014, 0xad220000,
+0x8c820004, 0xad250008, 0xad220004, 0x8f820054,
+0xad260010, 0xad270014, 0xad230018, 0xad28001c,
+0xad22000c, 0x2529ffe0, 0x3c020001, 0x244251d0,
+0x122102b, 0x10400003, 0x0, 0x3c090001,
+0x8d295134, 0x3c020001, 0x8c424fa0, 0xad220000,
+0x3c020001, 0x8c424fa0, 0x3c010001, 0xac295138,
+0xad220004, 0x1021, 0x3e00008, 0xac490250,
+0x0, 0x0, 0x0, 0xa61821,
+0xa3102b, 0x10400007, 0x0, 0x8c820000,
+0xaca20000, 0x24a50004, 0xa3102b, 0x1440fffb,
+0x24840004, 0x3e00008, 0x0, 0x851821,
+0x83102b, 0x10400006, 0x0, 0xac800000,
+0x24840004, 0x83102b, 0x5440fffd, 0xac800000,
+0x3e00008, 0x0, 0x861821, 0x83102b,
+0x10400007, 0x0, 0x8ca20000, 0xac820000,
+0x24840004, 0x83102b, 0x1440fffb, 0x24a50004,
+0x3e00008, 0x0, 0x63080, 0x861821,
+0x83102b, 0x10400006, 0x0, 0xac850000,
+0x24840004, 0x83102b, 0x5440fffd, 0xac850000,
+0x3e00008, 0x0, 0x0, 0x27bdffd0,
+0xafbf0028, 0xafb10024, 0xafb00020, 0x8f430024,
+0x8f420020, 0x1062004b, 0x401821, 0x8f420024,
+0x628823, 0x6210003, 0x0, 0x8f420040,
+0x2228821, 0x8f430030, 0x8f420024, 0x43102b,
+0x14400005, 0x0, 0x8f430040, 0x8f420024,
+0x10000005, 0x628023, 0x8f420030, 0x8f430024,
+0x431023, 0x2450ffff, 0x16000016, 0x2206821,
+0x3c040001, 0x24844874, 0x24020203, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74884,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x2206821, 0x20d102a, 0x54400001, 0x2006821,
+0x8f4b0024, 0x8f4a0040, 0x8f440170, 0x8f450174,
+0x8f4c001c, 0xd3900, 0x24080001, 0x1604821,
+0x1203021, 0x94900, 0x1201821, 0x16d5821,
+0x254affff, 0x16a8024, 0xafa80010, 0xafb00014,
+0x8f480014, 0x1021, 0xa32821, 0xa3482b,
+0x822021, 0x892021, 0xafa80018, 0x8f420108,
+0x63100, 0x40f809, 0x1863021, 0x54400001,
+0xaf500024, 0x8f430024, 0x8f420020, 0x14620018,
+0x0, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x2403ffef,
+0x431024, 0xaf820060, 0x8f420000, 0x10400003,
+0x0, 0x10000002, 0xaf80004c, 0xaf800048,
+0x8fbf0028, 0x8fb10024, 0x8fb00020, 0x3e00008,
+0x27bd0030, 0x27bdffc0, 0x32c20020, 0xafbf0038,
+0xafb30034, 0xafb20030, 0xafb1002c, 0x10400004,
+0xafb00028, 0x8f530028, 0x10000002, 0x0,
+0x8f530020, 0x8f420030, 0x105300ee, 0x21100,
+0x8f43001c, 0x628021, 0x8e040000, 0x8e050004,
+0x96120008, 0x8f420090, 0x9611000a, 0x3246ffff,
+0x46102a, 0x10400015, 0x0, 0x8f8200d8,
+0x8f430098, 0x431023, 0x2442fff8, 0xaf420090,
+0x2842fff9, 0x10400005, 0x0, 0x8f420090,
+0x8f430138, 0x431021, 0xaf420090, 0x8f420090,
+0x46102a, 0x10400005, 0x0, 0x8f420338,
+0x24420001, 0x100000e6, 0xaf420338, 0x8f8200fc,
+0x14400005, 0x32c20008, 0x8f420334, 0x24420001,
+0x100000df, 0xaf420334, 0x5040000c, 0xaf4000ac,
+0x934205b3, 0x10400008, 0x32220200, 0x10400006,
+0x3c034000, 0x9602000e, 0xaf4300ac, 0x21400,
+0x10000002, 0xaf4200b0, 0xaf4000ac, 0x32220004,
+0x1040008e, 0x32220800, 0x10400003, 0x3247ffff,
+0x10000002, 0x24020020, 0x24020004, 0xafa20010,
+0x8f420030, 0xafa20014, 0x8f420010, 0x3c030002,
+0x431025, 0xafa20018, 0x8f460098, 0x8f420108,
+0x40f809, 0x0, 0x104000bd, 0x3243ffff,
+0x8f42009c, 0x8f440094, 0x431021, 0xaf42009c,
+0xae04000c, 0x8f4200ac, 0x10400008, 0x3c034000,
+0x8f420094, 0x431025, 0xafa20020, 0x8f42009c,
+0x8f4300b0, 0x10000004, 0x431025, 0x8f420094,
+0xafa20020, 0x8f42009c, 0xafa20024, 0x8f9000fc,
+0x16000016, 0x26040008, 0x3c040001, 0x24844874,
+0x240200e1, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e7487c, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x26040008, 0x8fa80020,
+0x8fa90024, 0xae080000, 0xae090004, 0xaf8400f0,
+0x8f43009c, 0x8f440260, 0x8f450264, 0x1021,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0x32230060, 0x24020040, 0xaf440260, 0xaf450264,
+0x10620015, 0x2c620041, 0x10400005, 0x24020020,
+0x10620008, 0x24020001, 0x10000021, 0xa34205b3,
+0x24020060, 0x10620015, 0x24020001, 0x1000001c,
+0xa34205b3, 0x8f420268, 0x8f43026c, 0x24630001,
+0x2c640001, 0x441021, 0xaf420268, 0xaf43026c,
+0x10000012, 0x24020001, 0x8f420270, 0x8f430274,
+0x24630001, 0x2c640001, 0x441021, 0xaf420270,
+0xaf430274, 0x10000009, 0x24020001, 0x8f420278,
+0x8f43027c, 0x24630001, 0x2c640001, 0x441021,
+0xaf420278, 0xaf43027c, 0x24020001, 0xa34205b3,
+0x8f420098, 0x3244ffff, 0x2406fff8, 0x8f450130,
+0x441021, 0x24420007, 0x461024, 0x24840007,
+0xaf420094, 0x8f420090, 0x8f430094, 0x862024,
+0x441023, 0x65182b, 0x14600005, 0xaf420090,
+0x8f420094, 0x8f430138, 0x431023, 0xaf420094,
+0x8f420094, 0x10000023, 0xaf40009c, 0x3247ffff,
+0x10e00021, 0x0, 0x14400002, 0x24020010,
+0x24020002, 0xafa20010, 0x8f420030, 0xafa20014,
+0x8f420010, 0xafa20018, 0x8f460098, 0x8f420108,
+0x40f809, 0x0, 0x10400031, 0x3245ffff,
+0x8f420098, 0x8f430090, 0x8f460130, 0x451021,
+0xaf420098, 0x8f42009c, 0x8f440098, 0xa34005b3,
+0x651823, 0xaf430090, 0x451021, 0x86202b,
+0x14800005, 0xaf42009c, 0x8f420098, 0x8f430138,
+0x431023, 0xaf420098, 0x8f420030, 0x8f430040,
+0x24420001, 0x2463ffff, 0x431024, 0x14530018,
+0xaf420030, 0x8f420000, 0x10400007, 0x0,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x2403fff7,
+0x431024, 0xaf820060, 0x8f420000, 0x10400003,
+0x0, 0x10000002, 0xaf80004c, 0xaf800048,
+0x8fbf0038, 0x8fb30034, 0x8fb20030, 0x8fb1002c,
+0x8fb00028, 0x3e00008, 0x27bd0040, 0x27bdffd0,
+0x32c20020, 0xafbf002c, 0xafb20028, 0xafb10024,
+0x10400004, 0xafb00020, 0x8f520028, 0x10000002,
+0x0, 0x8f520020, 0x8f420030, 0x105200b0,
+0x21100, 0x8f43001c, 0x628021, 0x8e040000,
+0x8e050004, 0x96110008, 0x8f420090, 0x9607000a,
+0x3226ffff, 0x46102a, 0x10400015, 0x0,
+0x8f8200d8, 0x8f430098, 0x431023, 0x2442ff80,
+0xaf420090, 0x2842ff81, 0x10400005, 0x0,
+0x8f420090, 0x8f430138, 0x431021, 0xaf420090,
+0x8f420090, 0x46102a, 0x10400005, 0x0,
+0x8f420338, 0x24420001, 0x100000a8, 0xaf420338,
+0x8f8600fc, 0x10c0000c, 0x0, 0x8f8200f4,
+0x2403fff8, 0x431024, 0x461023, 0x218c3,
+0x50600001, 0x24030100, 0x8f42008c, 0x43102b,
+0x14400005, 0x712c2, 0x8f420334, 0x24420001,
+0x10000096, 0xaf420334, 0x934305b3, 0x1060000f,
+0x30460001, 0x8f420010, 0x34480400, 0x32c20008,
+0x10400008, 0x30e20200, 0x10400006, 0x3c034000,
+0x9602000e, 0xaf4300ac, 0x21400, 0x10000004,
+0xaf4200b0, 0x10000002, 0xaf4000ac, 0x8f480010,
+0x30e20004, 0x10400045, 0x3227ffff, 0x8f4900ac,
+0x11200005, 0x30c200ff, 0x14400006, 0x24020040,
+0x10000004, 0x24020008, 0x14400002, 0x24020020,
+0x24020004, 0xafa20010, 0x8f430030, 0x11200004,
+0xafa30014, 0x8f4200b0, 0x621025, 0xafa20014,
+0x3c020002, 0x1021025, 0xafa20018, 0x8f460098,
+0x8f420108, 0x40f809, 0x0, 0x10400067,
+0x3224ffff, 0x8f42008c, 0x8f430094, 0x24420001,
+0xaf42008c, 0x24020001, 0xae03000c, 0xa34205b3,
+0x8f420098, 0x2406fff8, 0x8f450130, 0x441021,
+0x24420007, 0x461024, 0x24840007, 0xaf420094,
+0x8f420090, 0x8f430094, 0x862024, 0x441023,
+0x65182b, 0x14600005, 0xaf420090, 0x8f420094,
+0x8f430138, 0x431023, 0xaf420094, 0x8f430094,
+0x8f420134, 0x43102b, 0x10400009, 0x0,
+0x8f430130, 0x8f440094, 0x8f420090, 0x8f45012c,
+0x641823, 0x431023, 0xaf420090, 0xaf450094,
+0x8f420094, 0x1000001f, 0xaf420098, 0x10e0001d,
+0x30c200ff, 0x14400002, 0x24020010, 0x24020002,
+0xafa20010, 0x8f420030, 0xafa80018, 0xafa20014,
+0x8f460098, 0x8f420108, 0x40f809, 0x0,
+0x1040002e, 0x3225ffff, 0x8f420098, 0x8f440130,
+0x451021, 0xaf420098, 0x8f420090, 0x8f430098,
+0xa34005b3, 0x451023, 0x64182b, 0x14600005,
+0xaf420090, 0x8f420098, 0x8f430138, 0x431023,
+0xaf420098, 0x8f420030, 0x8f430040, 0x24420001,
+0x2463ffff, 0x431024, 0x14520018, 0xaf420030,
+0x8f420000, 0x10400007, 0x0, 0xaf80004c,
+0x8f82004c, 0x1040fffd, 0x0, 0x10000005,
+0x0, 0xaf800048, 0x8f820048, 0x1040fffd,
+0x0, 0x8f820060, 0x2403fff7, 0x431024,
+0xaf820060, 0x8f420000, 0x10400003, 0x0,
+0x10000002, 0xaf80004c, 0xaf800048, 0x8fbf002c,
+0x8fb20028, 0x8fb10024, 0x8fb00020, 0x3e00008,
+0x27bd0030, 0x27bdffd8, 0xafbf0024, 0xafb00020,
+0x8f4300f0, 0x8f4200ec, 0x8f900108, 0x14620017,
+0x3c020001, 0x3c040001, 0x24844874, 0x24020434,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74884, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x3c020001, 0x8f4300f0, 0x34422ec0,
+0x2e21021, 0x54620004, 0x24620008, 0x3c020001,
+0x34422cc0, 0x2e21021, 0x401821, 0xaf4300f0,
+0xac600000, 0x8f4200ec, 0x8c660004, 0x14620004,
+0x3c020001, 0x26020020, 0x1000000f, 0xaf820108,
+0x8f4300f0, 0x34422ec0, 0x2e21021, 0x54620004,
+0x24620008, 0x3c020001, 0x34422cc0, 0x2e21021,
+0x401821, 0x8c620004, 0x21140, 0x2021021,
+0xaf820108, 0xac600000, 0x8e050018, 0x30a20036,
+0x10400069, 0x30a20001, 0x8e02001c, 0x8f430040,
+0x8f440034, 0x24420001, 0x2463ffff, 0x431024,
+0x862021, 0x30a30030, 0xaf42002c, 0x14600006,
+0xaf440034, 0x8c63023c, 0x801021, 0x43102b,
+0x144000da, 0x0, 0x32c20010, 0x10400027,
+0x24070008, 0x8f440160, 0x8f450164, 0x8f43002c,
+0x8f48000c, 0x8f860120, 0x24020080, 0xafa20010,
+0xafa30014, 0xafa80018, 0x8f42010c, 0x40f809,
+0x24c6001c, 0x14400011, 0x24020001, 0x3c010001,
+0x370821, 0xa02240f1, 0x8f820124, 0xafa20010,
+0x8f820128, 0x3c040001, 0x24844864, 0xafa20014,
+0x8f46002c, 0x8f870120, 0x3c050009, 0xc002bdf,
+0x34a51100, 0x10000034, 0x0, 0x8f44002c,
+0x8f4302f0, 0x24020001, 0xa34205b2, 0x24630001,
+0xaf440038, 0x10000025, 0xaf4302f0, 0x8f440160,
+0x8f450164, 0x8f43002c, 0x8f48000c, 0x8f860120,
+0x24020020, 0xafa20010, 0xafa30014, 0xafa80018,
+0x8f42010c, 0x40f809, 0x24c6001c, 0x14400011,
+0x24020001, 0x3c010001, 0x370821, 0xa02240f0,
+0x8f820124, 0xafa20010, 0x8f820128, 0x3c040001,
+0x24844858, 0xafa20014, 0x8f46002c, 0x8f870120,
+0x3c050009, 0xc002bdf, 0x34a50900, 0x1000000e,
+0x0, 0x8f43002c, 0x8f4202f0, 0xa34005b2,
+0x24420001, 0xaf430038, 0xaf4202f0, 0x3c010001,
+0x370821, 0xa02040f1, 0x3c010001, 0x370821,
+0xa02040f0, 0xaf400034, 0x8f420304, 0x24420001,
+0x10000082, 0xaf420304, 0x10400025, 0x30a27000,
+0x8e05001c, 0x8f420028, 0xa22023, 0x4810003,
+0x0, 0x8f420040, 0x822021, 0x8f420348,
+0x8f430000, 0x441021, 0xaf420348, 0x8f42035c,
+0xaf450028, 0x441021, 0x10600007, 0xaf42035c,
+0xaf80004c, 0x8f82004c, 0x1040fffd, 0x0,
+0x10000005, 0x0, 0xaf800048, 0x8f820048,
+0x1040fffd, 0x0, 0x8f820060, 0x34420008,
+0xaf820060, 0x8f420000, 0x10400003, 0x0,
+0x1000005e, 0xaf80004c, 0x1000005c, 0xaf800048,
+0x1040002f, 0x30a21000, 0x1040000c, 0x30a24000,
+0x8e03001c, 0x8f420050, 0x622023, 0x4820001,
+0x24840200, 0x8f42034c, 0x441021, 0xaf42034c,
+0x8f420358, 0x1000001a, 0xaf430050, 0x1040000c,
+0x32c28000, 0x8e03001c, 0x8f420070, 0x622023,
+0x4820001, 0x24840400, 0x8f420354, 0x441021,
+0xaf420354, 0x8f420358, 0x1000000d, 0xaf430070,
+0x1040000e, 0x3c020800, 0x8e03001c, 0x8f420060,
+0x622023, 0x4820001, 0x24840100, 0x8f420350,
+0x441021, 0xaf420350, 0x8f420358, 0xaf430060,
+0x441021, 0xaf420358, 0x3c020800, 0x2c21024,
+0x5040002e, 0x36940040, 0x1000002c, 0x0,
+0x30a20100, 0x10400014, 0x30a20080, 0x3c020001,
+0x8c424f64, 0x1040000b, 0x274301b0, 0x24650400,
+0x65102b, 0x10400007, 0x26e40028, 0x8c820000,
+0xac620000, 0x24630004, 0x65102b, 0x1440fffb,
+0x24840004, 0x8f4202cc, 0xa34005b6, 0x24420001,
+0x10000016, 0xaf4202cc, 0x14400014, 0x2402053a,
+0x3c040001, 0x24844874, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74884, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf0024,
+0x8fb00020, 0x3e00008, 0x27bd0028, 0x27bdffa8,
+0xafbf0050, 0xafbe004c, 0xafb50048, 0xafb30044,
+0xafb20040, 0xafb1003c, 0xafb00038, 0x8f920108,
+0x8f820104, 0x16420016, 0x26420020, 0x3c040001,
+0x24844874, 0x24020555, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74884, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x26420020,
+0xaf820108, 0x8e530018, 0xf021, 0x32620024,
+0x10400219, 0xa3a00030, 0x8e50001c, 0x8f42001c,
+0x964c0016, 0x101900, 0x431021, 0x8c51000c,
+0x8f430134, 0xa7ac0032, 0x9455000a, 0x71182b,
+0x10600014, 0x24020591, 0x3c040001, 0x24844874,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74884, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x9623000c, 0x2c6205dd, 0x10400016,
+0x2821, 0x32c20040, 0x10400016, 0x24020800,
+0x96230014, 0x14620013, 0xa03021, 0x9623000e,
+0x3402aaaa, 0x14620007, 0x2624000e, 0x94830002,
+0x24020300, 0x14620004, 0xc01021, 0x94820004,
+0x2c460001, 0xc01021, 0x54400006, 0x24050016,
+0x10000004, 0x0, 0x24020800, 0x50620001,
+0x2405000e, 0x934205b4, 0x14400008, 0x5021,
+0x240a0001, 0x32a20180, 0xaf4500a8, 0xaf5100a0,
+0x10400002, 0xaf5000a4, 0xa34a05b4, 0x10a00086,
+0x2254021, 0x91020000, 0x3021, 0x3042000f,
+0x24880, 0x32c20002, 0x10400012, 0x1091821,
+0x32a20002, 0x10400010, 0x32c20001, 0x1002021,
+0x94820000, 0x24840002, 0xc23021, 0x83102b,
+0x1440fffb, 0x30c2ffff, 0x61c02, 0x623021,
+0x61c02, 0x30c2ffff, 0x623021, 0x61027,
+0xa502000a, 0x32c20001, 0x1040006b, 0x32a20001,
+0x10400069, 0x0, 0x8f4200a8, 0x10400066,
+0x0, 0x8f4200a0, 0x8f4300a8, 0x431021,
+0x904b0009, 0x316700ff, 0x38e30006, 0x3182b,
+0x38e20011, 0x2102b, 0x621824, 0x1060000c,
+0x3c050006, 0x8f4200a4, 0x3c040001, 0x2484488c,
+0xafa20010, 0x8f4200a0, 0x34a54600, 0x2003021,
+0xc002bdf, 0xafa20014, 0x1000004f, 0x0,
+0x32c20004, 0x14400013, 0x2821, 0x314200ff,
+0x14400004, 0x0, 0x95020002, 0x1000000d,
+0x492823, 0x9505000c, 0x9502000e, 0x95030010,
+0xa22821, 0xa32821, 0x95030012, 0x91040009,
+0x95020002, 0xa32821, 0xa42821, 0x491023,
+0xa22821, 0x2202021, 0x94820000, 0x24840002,
+0xc23021, 0x88102b, 0x1440fffb, 0x61c02,
+0x30c2ffff, 0x623021, 0x61c02, 0x30c2ffff,
+0x623021, 0x97a20032, 0x452821, 0x51c02,
+0x30a2ffff, 0x622821, 0x51c02, 0x30a2ffff,
+0x622821, 0xa62823, 0x51402, 0xa22821,
+0x30a5ffff, 0x50a00001, 0x3405ffff, 0x314200ff,
+0x14400008, 0x316300ff, 0x8f4300a0, 0x8f4200a8,
+0x624021, 0x91020000, 0x3042000f, 0x24880,
+0x316300ff, 0x24020006, 0x14620003, 0x1091021,
+0x10000002, 0x24440010, 0x24440006, 0x314200ff,
+0x14400006, 0x0, 0x94820000, 0xa22821,
+0x51c02, 0x30a2ffff, 0x622821, 0x934205b4,
+0x10400003, 0x32a20100, 0x50400003, 0xa4850000,
+0x52827, 0xa4850000, 0x9642000e, 0x8f43009c,
+0x621821, 0xaf43009c, 0x93a20030, 0x10400006,
+0x3c024000, 0x2221025, 0xafa20020, 0x8f42009c,
+0x10000003, 0x5e1025, 0xafb10020, 0x8f42009c,
+0xafa20024, 0x32a20080, 0x10400028, 0x32a20100,
+0x8f4200b4, 0x2c420100, 0x14400016, 0x274400b4,
+0x3c040001, 0x24844874, 0x240206c4, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74884,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x274400b4, 0x8c820000, 0x218c0, 0x24420001,
+0x2e31821, 0x8fad0020, 0x8fae0024, 0x3c010001,
+0x230821, 0xac2d38e8, 0x3c010001, 0x230821,
+0xac2e38ec, 0x100000db, 0xac820000, 0x1040008c,
+0x0, 0x8f4200b4, 0x2c420100, 0x14400016,
+0x274400b4, 0x3c040001, 0x24844874, 0x240206d2,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74884, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x274400b4, 0x8c820000, 0x218c0,
+0x24420001, 0x2e31821, 0x8fad0020, 0x8fae0024,
+0x3c010001, 0x230821, 0xac2d38e8, 0x3c010001,
+0x230821, 0xac2e38ec, 0xac820000, 0x8f4200b4,
+0x10400060, 0x8821, 0x1110c0, 0x2e21021,
+0x3c0d0001, 0x1a26821, 0x8dad38e8, 0x3c0e0001,
+0x1c27021, 0x8dce38ec, 0xafad0028, 0xafae002c,
+0x8f9000fc, 0x16000016, 0x26020008, 0x3c040001,
+0x24844874, 0x240200f4, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e7487c, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x26020008,
+0x8fad0028, 0x8fae002c, 0xae0d0000, 0xae0e0004,
+0xaf8200f0, 0x8f42008c, 0x2442ffff, 0xaf42008c,
+0x97a4002e, 0x801821, 0x8f440260, 0x8f450264,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaf440260, 0xaf450264, 0x8fa30028,
+0x3c02001f, 0x3442ffff, 0x622024, 0x90820000,
+0x30420001, 0x14400009, 0x2402ffff, 0x8f420268,
+0x8f43026c, 0x24630001, 0x2c640001, 0x441021,
+0xaf420268, 0x10000016, 0xaf43026c, 0x8c830000,
+0x1462000c, 0x3402ffff, 0x94830004, 0x14620009,
+0x0, 0x8f420278, 0x8f43027c, 0x24630001,
+0x2c640001, 0x441021, 0xaf420278, 0x10000008,
+0xaf43027c, 0x8f420270, 0x8f430274, 0x24630001,
+0x2c640001, 0x441021, 0xaf420270, 0xaf430274,
+0x8f4200b4, 0x26310001, 0x222102b, 0x1440ffa3,
+0x1110c0, 0xa34005b4, 0x1000004e, 0xaf4000b4,
+0x8f9000fc, 0x16000016, 0x26040008, 0x3c040001,
+0x24844874, 0x240200f4, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e7487c, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x26040008,
+0x8fad0020, 0x8fae0024, 0xae0d0000, 0xae0e0004,
+0xaf8400f0, 0x8f43009c, 0x8f46008c, 0x8f440260,
+0x8f450264, 0x1021, 0x24c6ffff, 0xaf46008c,
+0xa32821, 0xa3302b, 0x822021, 0x862021,
+0xaf440260, 0xaf450264, 0x92220000, 0x30420001,
+0x1440000a, 0x2402ffff, 0x8f420268, 0x8f43026c,
+0x24630001, 0x2c640001, 0x441021, 0xaf420268,
+0xaf43026c, 0x10000018, 0xaf40009c, 0x8e230000,
+0x1462000d, 0x3402ffff, 0x96230004, 0x1462000a,
+0x0, 0x8f420278, 0x8f43027c, 0x24630001,
+0x2c640001, 0x441021, 0xaf420278, 0xaf43027c,
+0x10000009, 0xaf40009c, 0x8f420270, 0x8f430274,
+0x24630001, 0x2c640001, 0x441021, 0xaf420270,
+0xaf430274, 0xaf40009c, 0x8e43001c, 0x8f420040,
+0x24630001, 0x2442ffff, 0x621824, 0xaf43002c,
+0x32630060, 0x14600008, 0x32c20010, 0x8f420034,
+0x24420001, 0xaf420034, 0x8c63023c, 0x43102b,
+0x14400122, 0x32c20010, 0x10400017, 0x24070008,
+0x8f440160, 0x8f450164, 0x8f43002c, 0x8f48000c,
+0x8f860120, 0x24020080, 0xafa20010, 0xafa30014,
+0xafa80018, 0x8f42010c, 0x40f809, 0x24c6001c,
+0x10400040, 0x24020001, 0x8f44002c, 0x8f4302f0,
+0x24020001, 0xa34205b2, 0x24630001, 0xaf440038,
+0x10000074, 0xaf4302f0, 0x8f440160, 0x8f450164,
+0x8f43002c, 0x8f48000c, 0x8f860120, 0x24020020,
+0xafa20010, 0xafa30014, 0xafa80018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x10400050, 0x24020001,
+0x1000005e, 0x0, 0x32620012, 0x1040006c,
+0x32620001, 0x9643000e, 0x8f42009c, 0x431021,
+0xaf42009c, 0x8e43001c, 0x8f420040, 0x24630001,
+0x2442ffff, 0x621824, 0x32620010, 0x14400008,
+0xaf43002c, 0x8f420034, 0x24420001, 0xaf420034,
+0x8fc3023c, 0x43102b, 0x144000e4, 0x0,
+0x32c20010, 0x10400027, 0x24070008, 0x8f440160,
+0x8f450164, 0x8f43002c, 0x8f48000c, 0x8f860120,
+0x24020080, 0xafa20010, 0xafa30014, 0xafa80018,
+0x8f42010c, 0x40f809, 0x24c6001c, 0x14400011,
+0x24020001, 0x3c010001, 0x370821, 0xa02240f1,
+0x8f820124, 0xafa20010, 0x8f820128, 0x3c040001,
+0x24844864, 0xafa20014, 0x8f46002c, 0x8f870120,
+0x3c050009, 0xc002bdf, 0x34a51100, 0x10000034,
+0x0, 0x8f44002c, 0x8f4302f0, 0x24020001,
+0xa34205b2, 0x24630001, 0xaf440038, 0x10000025,
+0xaf4302f0, 0x8f440160, 0x8f450164, 0x8f43002c,
+0x8f48000c, 0x8f860120, 0x24020020, 0xafa20010,
+0xafa30014, 0xafa80018, 0x8f42010c, 0x40f809,
+0x24c6001c, 0x14400011, 0x24020001, 0x3c010001,
+0x370821, 0xa02240f0, 0x8f820124, 0xafa20010,
+0x8f820128, 0x3c040001, 0x24844858, 0xafa20014,
+0x8f46002c, 0x8f870120, 0x3c050009, 0xc002bdf,
+0x34a50900, 0x1000000e, 0x0, 0x8f43002c,
+0x8f4202f0, 0xa34005b2, 0x24420001, 0xaf430038,
+0xaf4202f0, 0x3c010001, 0x370821, 0xa02040f1,
+0x3c010001, 0x370821, 0xa02040f0, 0xaf400034,
+0x8f420304, 0x24420001, 0x1000008c, 0xaf420304,
+0x10400025, 0x32627000, 0x8e45001c, 0x8f420028,
+0xa22023, 0x4810003, 0x0, 0x8f420040,
+0x822021, 0x8f420348, 0x8f430000, 0x441021,
+0xaf420348, 0x8f42035c, 0xaf450028, 0x441021,
+0x10600007, 0xaf42035c, 0xaf80004c, 0x8f82004c,
+0x1040fffd, 0x0, 0x10000005, 0x0,
+0xaf800048, 0x8f820048, 0x1040fffd, 0x0,
+0x8f820060, 0x34420008, 0xaf820060, 0x8f420000,
+0x10400003, 0x0, 0x10000068, 0xaf80004c,
+0x10000066, 0xaf800048, 0x1040002f, 0x32621000,
+0x1040000c, 0x32624000, 0x8e43001c, 0x8f420050,
+0x622023, 0x4820001, 0x24840200, 0x8f42034c,
+0x441021, 0xaf42034c, 0x8f420358, 0x1000001a,
+0xaf430050, 0x1040000c, 0x32c28000, 0x8e43001c,
+0x8f420070, 0x622023, 0x4820001, 0x24840400,
+0x8f420354, 0x441021, 0xaf420354, 0x8f420358,
+0x1000000d, 0xaf430070, 0x1040000e, 0x3c020800,
+0x8e43001c, 0x8f420060, 0x622023, 0x4820001,
+0x24840100, 0x8f420350, 0x441021, 0xaf420350,
+0x8f420358, 0xaf430060, 0x441021, 0xaf420358,
+0x3c020800, 0x2c21024, 0x50400038, 0x36940040,
+0x10000036, 0x0, 0x32620048, 0x10400008,
+0x240d0001, 0x8e42001c, 0x3c03ffff, 0xa3ad0030,
+0x43f024, 0x3042ffff, 0x1000fd1b, 0xae42001c,
+0x32620100, 0x10400014, 0x32620080, 0x3c020001,
+0x8c424f64, 0x1040000b, 0x274301b0, 0x24650400,
+0x65102b, 0x10400007, 0x26e40028, 0x8c820000,
+0xac620000, 0x24630004, 0x65102b, 0x1440fffb,
+0x24840004, 0x8f4202cc, 0xa34005b6, 0x24420001,
+0x10000016, 0xaf4202cc, 0x14400014, 0x240207f9,
+0x3c040001, 0x24844874, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74884, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf0050,
+0x8fbe004c, 0x8fb50048, 0x8fb30044, 0x8fb20040,
+0x8fb1003c, 0x8fb00038, 0x3e00008, 0x27bd0058,
+0x3e00008, 0x0, 0x3e00008, 0x0,
+0x3e00008, 0x0, 0x3e00008, 0x0,
+0x3e00008, 0x0, 0x0, 0x27bdff60,
+0x240a0001, 0xafbf0098, 0xafbe0094, 0xafb50090,
+0xafb3008c, 0xafb20088, 0xafb10084, 0xafb00080,
+0xa3a00070, 0xafa00040, 0xafaa0050, 0x934205b5,
+0xa7a0006e, 0x1040000a, 0xa7a00064, 0x8f4b00c4,
+0xafab0054, 0x8f4a00c0, 0xafaa0058, 0x8f4b00cc,
+0xafab005c, 0x8f4a00c8, 0x10000135, 0xafaa0060,
+0x8f420114, 0x40f809, 0x27a40020, 0x304200ff,
+0x1040036f, 0x0, 0x8fab0024, 0x8faa0020,
+0x3162ffff, 0x2442fffc, 0xafa20058, 0x3c020006,
+0x2c21024, 0xafab0060, 0x14400016, 0xafaa0054,
+0x91420000, 0x30420001, 0x10400012, 0x2402ffff,
+0x8d430000, 0x14620004, 0x3402ffff, 0x95430004,
+0x1062000d, 0x27a40020, 0x8fa40054, 0xc002430,
+0x0, 0x304200ff, 0x14400007, 0x27a40020,
+0x8f420118, 0x40f809, 0x0, 0x10000350,
+0x0, 0x27a40020, 0x8c830004, 0x3c02ffbf,
+0x3442ffff, 0x621824, 0x3c02ffff, 0xac830004,
+0x621824, 0x14600003, 0x3c020080, 0x10000037,
+0x1821, 0x621024, 0x10400006, 0x0,
+0x8f42037c, 0x24420001, 0xaf42037c, 0x1000002f,
+0x24030001, 0x8f420200, 0x24420001, 0xaf420200,
+0x3c020001, 0x621024, 0x10400005, 0x3c020002,
+0x8f4201b4, 0x24420001, 0xaf4201b4, 0x3c020002,
+0x621024, 0x10400005, 0x3c020004, 0x8f42036c,
+0x24420001, 0xaf42036c, 0x3c020004, 0x621024,
+0x10400005, 0x3c020008, 0x8f420370, 0x24420001,
+0xaf420370, 0x3c020008, 0x621024, 0x10400005,
+0x3c020010, 0x8f420374, 0x24420001, 0xaf420374,
+0x3c020010, 0x621024, 0x10400005, 0x3c020020,
+0x8f4201b0, 0x24420001, 0xaf4201b0, 0x3c020020,
+0x621024, 0x10400005, 0x24030001, 0x8f420378,
+0x24420001, 0xaf420378, 0x24030001, 0x1021,
+0x8c420260, 0x8fab0058, 0x4b102b, 0x10400013,
+0x307000ff, 0x8f4201d8, 0x24420001, 0xaf4201d8,
+0x8faa0060, 0x8f8200e0, 0x354a0100, 0xafaa0060,
+0xafa20010, 0x8f8200e4, 0x24100001, 0x3c040001,
+0x24844964, 0xafa20014, 0x8fa60020, 0x8fa70024,
+0x3c050007, 0xc002bdf, 0x34a50800, 0x1200000f,
+0x3c020080, 0x2c21024, 0x1440000d, 0x32c20400,
+0x8fab0060, 0x3c020080, 0x34420100, 0x1621024,
+0x10400004, 0x0, 0x8f4201fc, 0x24420001,
+0xaf4201fc, 0x100002db, 0x8fa30058, 0x32c20400,
+0x10400015, 0x34028100, 0x8faa0054, 0x9543000c,
+0x14620012, 0x3c020100, 0x240b0200, 0xa7ab006e,
+0x9542000e, 0x8d430008, 0x8d440004, 0x8d450000,
+0x8faa0058, 0x8fab0054, 0x254afffc, 0xafaa0058,
+0xa7a20064, 0xad63000c, 0xad640008, 0xad650004,
+0x256b0004, 0xafab0054, 0x3c020100, 0x2c21024,
+0x10400004, 0x0, 0x8faa0058, 0x254a0004,
+0xafaa0058, 0x8f4200bc, 0x5040000a, 0xafa0005c,
+0x8fab0058, 0x4b102b, 0x50400006, 0xafa0005c,
+0x8f4200bc, 0x1621023, 0xafa2005c, 0x8f4a00bc,
+0xafaa0058, 0x8f420080, 0x8fab0058, 0x4b102b,
+0x1040006e, 0x32c28000, 0x10400076, 0x240a0003,
+0x32c21000, 0x10400072, 0xafaa0050, 0x240b0004,
+0x1000006f, 0xafab0050, 0x8f420340, 0x2403ffbf,
+0x283a024, 0x24420001, 0x1000027b, 0xaf420340,
+0x2c2b025, 0x2402ffbf, 0x282a024, 0x8f830128,
+0x3c040001, 0x2484499c, 0x26620001, 0xafa20014,
+0xafa30010, 0x8f860120, 0x8f870124, 0x3c050007,
+0xc002bdf, 0x34a52250, 0x1000026b, 0x0,
+0x2c2b025, 0x2402ffbf, 0x282a024, 0x8f830128,
+0x3c040001, 0x2484499c, 0x24020002, 0xafa20014,
+0xafa30010, 0x8f860120, 0x8f870124, 0x3c050007,
+0xc002bdf, 0x34a52450, 0x1000025b, 0x0,
+0x8faa004c, 0x3c040001, 0x248449b4, 0xafb00010,
+0xafaa0014, 0x8ea60004, 0x8ea70018, 0xc002bdf,
+0x34a52800, 0x3c040001, 0x24844954, 0x10000021,
+0x24020471, 0xa6b1000a, 0x8f820124, 0x8fab004c,
+0x3c040001, 0x248449bc, 0xafab0014, 0xafa20010,
+0x8f460044, 0x8f870120, 0x3c050007, 0xc002bdf,
+0x34a53000, 0x3c040001, 0x24844954, 0x10000011,
+0x240204a5, 0xa6b1000a, 0xa6b2000e, 0x8f820124,
+0x8faa004c, 0x3c040001, 0x248449c8, 0xafaa0014,
+0xafa20010, 0x8f460044, 0x8f870120, 0x3c050007,
+0xc002bdf, 0x34a53200, 0x3c040001, 0x24844954,
+0x240204da, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74994, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0x1000021c, 0xaf820140, 0x8f420084,
+0x8fab0058, 0x4b102b, 0x14400006, 0x3c020001,
+0x2c21024, 0x10400003, 0x240a0002, 0xafaa0050,
+0x8fab0058, 0x1160022f, 0x27aa0038, 0xafaa0074,
+0x27ab0028, 0xafab0078, 0x8faa0050, 0x240b0001,
+0x154b001e, 0x24020002, 0x8f430054, 0x8f420050,
+0x10620009, 0x605021, 0x274b0054, 0x25420001,
+0x304201ff, 0xafa20048, 0xa1140, 0x3403ecc0,
+0x1000001f, 0x431021, 0x8f420044, 0x8faa0058,
+0x3c040001, 0x24844970, 0xafaa0014, 0xafa20010,
+0x8f460054, 0x8f470050, 0x3c050007, 0xc002bdf,
+0x34a51300, 0x8f430340, 0x2402ffbf, 0x282a024,
+0x24630001, 0x100001ec, 0xaf430340, 0x8fab0050,
+0x1562001e, 0x0, 0x8f430074, 0x8f420070,
+0x1062000b, 0x605021, 0x274b0074, 0x25420001,
+0x304203ff, 0xafa20048, 0xa1140, 0x24426cc0,
+0x2e2a821, 0xafaa004c, 0x1000004d, 0xafab0044,
+0x8f420044, 0x8faa0058, 0x3c040001, 0x2484497c,
+0x3c050007, 0xafaa0014, 0xafa20010, 0x8f460074,
+0x8f470070, 0x34a51500, 0x240b0001, 0xc002bdf,
+0xafab0050, 0x1000ffc4, 0x0, 0x8f430064,
+0x8f420060, 0x1062001d, 0x605021, 0x25420001,
+0xafaa004c, 0x8faa0050, 0x274b0064, 0x304200ff,
+0xafa20048, 0x24020004, 0x1542000f, 0xafab0044,
+0x8fab004c, 0xb1180, 0x24420cc0, 0x2e21021,
+0xafa20040, 0x9442002a, 0x8faa0040, 0x8fab0058,
+0x4b102b, 0x10400026, 0x25550020, 0x240a0001,
+0x10000023, 0xa3aa0070, 0x8fab004c, 0xb1140,
+0x24424cc0, 0x1000001e, 0x2e2a821, 0x8f420044,
+0x8faa0058, 0x3c040001, 0x24844988, 0xafaa0014,
+0xafa20010, 0x8f460064, 0x8f470060, 0x3c050007,
+0xc002bdf, 0x34a51800, 0x3c020008, 0x2c21024,
+0x1440ff1d, 0x0, 0x8f420360, 0x240b0001,
+0xafab0050, 0x4b1021, 0x1000ff8f, 0xaf420360,
+0x8faa0074, 0x2663ffff, 0x31840, 0x1431821,
+0x94620000, 0x441021, 0x10000020, 0xa4620000,
+0x8fab0054, 0xaeab0018, 0x93a20070, 0x1040009a,
+0x9821, 0x8faa0040, 0x8fa40058, 0x8fa30074,
+0x25420020, 0xafa20028, 0x25420008, 0xafa20030,
+0x25420010, 0xafaa002c, 0xafa20034, 0x9542002a,
+0xa7a20038, 0x95420018, 0xa7a2003a, 0x9542001a,
+0xa7a2003c, 0x9542001c, 0xa7a2003e, 0x94620000,
+0x24630002, 0x822023, 0x1880ffdd, 0x26730001,
+0x2e620004, 0x1440fff9, 0x0, 0x18800014,
+0x2402040f, 0x3c040001, 0x24844954, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74994,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x8f4200fc, 0x26650001, 0xa2102a, 0x1440003c,
+0x24030001, 0x8f83012c, 0x10600035, 0x0,
+0x8f820124, 0x431023, 0x22143, 0x58800001,
+0x24840040, 0x8f820128, 0x431023, 0x21143,
+0x58400001, 0x24420040, 0x401821, 0x83102a,
+0x54400001, 0x801821, 0x601021, 0xa2102a,
+0x10400023, 0xaf4300fc, 0x10000025, 0x24030001,
+0x8fab0054, 0x96070000, 0xafab0010, 0x8e220000,
+0x3c040001, 0x248449a8, 0x8c420004, 0x34a52400,
+0x2403021, 0xc002bdf, 0xafa20014, 0x3c040001,
+0x24844954, 0x24020433, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74994, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0x1000002c, 0xaf820140,
+0x8f420324, 0x1821, 0x24420001, 0xaf420324,
+0x306200ff, 0x1040fe9e, 0x3c020800, 0x12600023,
+0x9021, 0x3c1e001f, 0x37deffff, 0x8fb00074,
+0x8fb10078, 0x8e220000, 0x96070000, 0x8fab004c,
+0x8fa60054, 0x8c440000, 0x8c450004, 0x240a0001,
+0xafaa0010, 0xafab0014, 0x8f420008, 0xafa20018,
+0x8f42010c, 0x40f809, 0x0, 0x1040ffc4,
+0x3c050007, 0x96020000, 0x8faa0054, 0x1425021,
+0x3ca102b, 0x10400004, 0xafaa0054, 0x8f42013c,
+0x1425023, 0xafaa0054, 0x26100002, 0x26520001,
+0x253102b, 0x1440ffe3, 0x26310004, 0x8fb00058,
+0x10000038, 0x97b10038, 0x8f4200fc, 0x24050002,
+0xa2102a, 0x1440001c, 0x24030001, 0x8f83012c,
+0x10600015, 0x0, 0x8f820124, 0x431023,
+0x22143, 0x58800001, 0x24840040, 0x8f820128,
+0x431023, 0x21143, 0x58400001, 0x24420040,
+0x401821, 0x83102a, 0x54400001, 0x801821,
+0x601021, 0xa2102a, 0x10400003, 0xaf4300fc,
+0x10000005, 0x24030001, 0x8f420324, 0x1821,
+0x24420001, 0xaf420324, 0x306200ff, 0x1040fe64,
+0x3c020800, 0x96b1000a, 0x8fb00058, 0x3223ffff,
+0x70102b, 0x54400001, 0x608021, 0x8ea40000,
+0x8ea50004, 0x8faa004c, 0x8fa60054, 0x240b0001,
+0xafab0010, 0xafaa0014, 0x8f420008, 0xafa20018,
+0x8f42010c, 0x40f809, 0x2003821, 0x1040fe60,
+0x3c050007, 0x96a3000e, 0x97ab006e, 0x11600007,
+0x609021, 0x934205b5, 0x14400004, 0x0,
+0x97aa0064, 0x6b1825, 0xa6aa0016, 0x8fab0060,
+0x3c02ffff, 0x1621024, 0x10400003, 0xb1402,
+0x34630400, 0xa6a20014, 0x8faa0058, 0x560a006d,
+0xa6a3000e, 0x34620004, 0xa6a2000e, 0x8fab005c,
+0x14b1021, 0xa6a2000a, 0x8f430044, 0x8f440190,
+0x8f450194, 0x34028000, 0xafa20010, 0x8f420044,
+0x2a03021, 0x24070020, 0xafa20014, 0x8f42000c,
+0x31940, 0x604821, 0xafa20018, 0x8f42010c,
+0x4021, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x5040fe3e, 0xa6b2000e,
+0x8f420358, 0xafa00058, 0xa34005b5, 0x2442ffff,
+0xaf420358, 0x8faa0050, 0x240b0001, 0x154b0005,
+0x24020002, 0x8f42034c, 0x2442ffff, 0x1000000a,
+0xaf42034c, 0x15420005, 0x0, 0x8f420354,
+0x2442ffff, 0x10000004, 0xaf420354, 0x8f420350,
+0x2442ffff, 0xaf420350, 0x8faa0048, 0x8fab0044,
+0xad6a0000, 0x8f420044, 0x8f440088, 0x8f430078,
+0x24420001, 0x441024, 0x24630001, 0xaf420044,
+0x1021, 0xaf430078, 0x8c420240, 0x62182b,
+0x14600062, 0x24070008, 0x8f440158, 0x8f45015c,
+0x8f430044, 0x8f48000c, 0x8f860120, 0x24020040,
+0xafa20010, 0xafa30014, 0xafa80018, 0x8f42010c,
+0x40f809, 0x24c6001c, 0x14400011, 0x240b0001,
+0x3c010001, 0x370821, 0xa02b40f2, 0x8f820124,
+0xafa20010, 0x8f820128, 0x3c040001, 0x2484494c,
+0xafa20014, 0x8f460044, 0x8f870120, 0x3c050009,
+0xc002bdf, 0x34a51300, 0x1000000a, 0x0,
+0x8f4202f4, 0x8f430044, 0x24420001, 0xaf43007c,
+0xaf4202f4, 0x3c010001, 0x370821, 0xa02040f2,
+0xaf400078, 0x8f420308, 0x24420001, 0x10000037,
+0xaf420308, 0xa6b0000a, 0x8f430044, 0x8f440190,
+0x8f450194, 0x34028000, 0xafa20010, 0x8f420044,
+0x2a03021, 0x24070020, 0xafa20014, 0x8f42000c,
+0x31940, 0x604821, 0xafa20018, 0x8f42010c,
+0x4021, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x1040fde6, 0x240a0001,
+0xa34a05b5, 0x8fab0058, 0x8faa0054, 0x3c02001f,
+0x3442ffff, 0x1705823, 0x1505021, 0x4a102b,
+0xafab0058, 0x10400004, 0xafaa0054, 0x8f42013c,
+0x1425023, 0xafaa0054, 0x8f420358, 0x2442ffff,
+0xaf420358, 0x8f42034c, 0x2442ffff, 0xaf42034c,
+0x8faa0048, 0x8fab0044, 0xad6a0000, 0x8f420044,
+0x8f440088, 0x8f430078, 0x24420001, 0x441024,
+0x24630001, 0xaf420044, 0xaf430078, 0x8fab0058,
+0x1560fdf5, 0x0, 0x8faa0058, 0x1140001d,
+0x0, 0x934205b5, 0x10400009, 0x0,
+0x8fab0054, 0xaf4b00c4, 0xaf4a00c0, 0x8faa0060,
+0xaf4a00c8, 0x8fab005c, 0x1000000e, 0xaf4b00cc,
+0x97aa006e, 0x1140000b, 0x34038100, 0x8fa20020,
+0x8c46000c, 0xa443000c, 0x97ab0064, 0x8c440004,
+0x8c450008, 0xa44b000e, 0xac440000, 0xac450004,
+0xac460008, 0x8f42033c, 0x24420001, 0x10000010,
+0xaf42033c, 0x8faa0060, 0x3144ffff, 0x2484fffc,
+0x801821, 0x8f440240, 0x8f450244, 0x8f460118,
+0x1021, 0xa32821, 0xa3382b, 0x822021,
+0x872021, 0xaf440240, 0xc0f809, 0xaf450244,
+0x8fbf0098, 0x8fbe0094, 0x8fb50090, 0x8fb3008c,
+0x8fb20088, 0x8fb10084, 0x8fb00080, 0x3e00008,
+0x27bd00a0, 0x27bdff60, 0x240b0001, 0xafbf0098,
+0xafbe0094, 0xafb50090, 0xafb3008c, 0xafb20088,
+0xafb10084, 0xafb00080, 0xa3a00062, 0xafa00040,
+0xafab004c, 0x934205b5, 0xa7a0005e, 0x10400007,
+0xa7a00060, 0x8f4c00c0, 0xafac0050, 0x8f4b00c8,
+0x8f5500c4, 0x1000011e, 0xafab0054, 0x8f420114,
+0x40f809, 0x27a40020, 0x304200ff, 0x104002b4,
+0x0, 0x8fac0024, 0x8fb50020, 0x3182ffff,
+0x2442fffc, 0xafa20050, 0x3c020006, 0x2c21024,
+0x14400015, 0xafac0054, 0x92a20000, 0x30420001,
+0x10400011, 0x2402ffff, 0x8ea30000, 0x14620004,
+0x3402ffff, 0x96a30004, 0x1062000c, 0x27a40020,
+0xc002430, 0x2a02021, 0x304200ff, 0x14400007,
+0x27a40020, 0x8f420118, 0x40f809, 0x0,
+0x10000297, 0x0, 0x27a40020, 0x8c830004,
+0x3c02ffbf, 0x3442ffff, 0x621824, 0x3c02ffff,
+0xac830004, 0x621824, 0x14600003, 0x3c020080,
+0x10000037, 0x8021, 0x621024, 0x10400006,
+0x0, 0x8f42037c, 0x24420001, 0xaf42037c,
+0x1000002f, 0x24100001, 0x8f420200, 0x24420001,
+0xaf420200, 0x3c020001, 0x621024, 0x10400005,
+0x3c020002, 0x8f4201b4, 0x24420001, 0xaf4201b4,
+0x3c020002, 0x621024, 0x10400005, 0x3c020004,
+0x8f42036c, 0x24420001, 0xaf42036c, 0x3c020004,
+0x621024, 0x10400005, 0x3c020008, 0x8f420370,
+0x24420001, 0xaf420370, 0x3c020008, 0x621024,
+0x10400005, 0x3c020010, 0x8f420374, 0x24420001,
+0xaf420374, 0x3c020010, 0x621024, 0x10400005,
+0x3c020020, 0x8f4201b0, 0x24420001, 0xaf4201b0,
+0x3c020020, 0x621024, 0x10400005, 0x24100001,
+0x8f420378, 0x24420001, 0xaf420378, 0x24100001,
+0x1021, 0x8c420260, 0x8fab0050, 0x4b102b,
+0x10400014, 0x320200ff, 0x8f4201d8, 0x24420001,
+0xaf4201d8, 0x8fac0054, 0x8f8200e0, 0x358c0100,
+0xafac0054, 0xafa20010, 0x8f8200e4, 0x24100001,
+0x3c040001, 0x24844964, 0xafa20014, 0x8fa60020,
+0x8fa70024, 0x3c050007, 0xc002bdf, 0x34a53600,
+0x320200ff, 0x1040000f, 0x3c020080, 0x2c21024,
+0x1440000d, 0x32c20400, 0x8fab0054, 0x3c020080,
+0x34420100, 0x1621024, 0x10400004, 0x0,
+0x8f4201fc, 0x24420001, 0xaf4201fc, 0x10000221,
+0x8fa30050, 0x32c20400, 0x10400012, 0x34028100,
+0x96a3000c, 0x1462000f, 0x0, 0x240c0200,
+0xa7ac005e, 0x96a2000e, 0x8ea30008, 0x8ea40004,
+0x8fab0050, 0x8ea50000, 0x256bfffc, 0xafab0050,
+0xa7a20060, 0xaea3000c, 0xaea40008, 0xaea50004,
+0x26b50004, 0x8fa70050, 0x320200ff, 0x14400032,
+0x3c020100, 0x96a3000c, 0x2c6205dd, 0x10400016,
+0x3021, 0x32c20800, 0x10400016, 0x24020800,
+0x96a30014, 0x14620013, 0xc02821, 0x96a3000e,
+0x3402aaaa, 0x14620007, 0x26a4000e, 0x94830002,
+0x24020300, 0x14620004, 0xa01021, 0x94820004,
+0x2c450001, 0xa01021, 0x54400006, 0x24060016,
+0x10000004, 0x0, 0x24020800, 0x50620001,
+0x2406000e, 0x10c00013, 0x2a62021, 0x24830009,
+0x3c02001f, 0x3442ffff, 0x43102b, 0x10400003,
+0x0, 0x8f42013c, 0x621823, 0x90620000,
+0x38430006, 0x2c630001, 0x38420011, 0x2c420001,
+0x621825, 0x10600004, 0x3c020100, 0x94820002,
+0x463821, 0x3c020100, 0x2c21024, 0x5040000e,
+0xafa70050, 0x8fac0050, 0x10ec0008, 0x3c050007,
+0x3c040001, 0x248449d4, 0x34a54000, 0x1803021,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x8fab0050,
+0x256b0004, 0xafab0050, 0x8f420080, 0x8fac0050,
+0x4c102b, 0x10400029, 0x32c28000, 0x10400030,
+0x240b0003, 0x32c21000, 0x1040002d, 0xafab004c,
+0x1000002a, 0x240c0004, 0x8f420340, 0x2403ffbf,
+0x283a024, 0x24420001, 0x10000196, 0xaf420340,
+0x3c020800, 0x2c2b025, 0x2402ffbf, 0x282a024,
+0x8f830128, 0x3c040001, 0x2484499c, 0x26620001,
+0xafa20014, 0xafa30010, 0x8f860120, 0x8f870124,
+0x3c050007, 0xc002bdf, 0x34a55300, 0x10000185,
+0x0, 0x3c040001, 0x248449b4, 0xafb10014,
+0x8fc60004, 0x8fc70018, 0x3c050007, 0xc002bdf,
+0x34a55900, 0x1000017b, 0x0, 0x8f420084,
+0x8fab0050, 0x4b102b, 0x14400006, 0x3c020001,
+0x2c21024, 0x10400003, 0x240c0002, 0xafac004c,
+0x8fab0050, 0x1160018b, 0x27ac0038, 0x27ab0028,
+0xafac0064, 0xafab0068, 0x8fac004c, 0x240b0001,
+0x158b001e, 0x240b0002, 0x8f430054, 0x8f420050,
+0x10620009, 0x608821, 0x274c0054, 0x26220001,
+0x304201ff, 0xafa20048, 0x111140, 0x3403ecc0,
+0x1000001e, 0x431021, 0x8f420044, 0x8fab0050,
+0x3c040001, 0x24844970, 0xafab0014, 0xafa20010,
+0x8f460054, 0x8f470050, 0x3c050007, 0xc002bdf,
+0x34a54300, 0x8f430340, 0x2402ffbf, 0x282a024,
+0x24630001, 0x1000014b, 0xaf430340, 0x158b001d,
+0x0, 0x8f430074, 0x8f420070, 0x1062000a,
+0x608821, 0x274c0074, 0x26220001, 0x304203ff,
+0xafa20048, 0x111140, 0x24426cc0, 0x2e2f021,
+0x1000004a, 0xafac0044, 0x8f420044, 0x8fab0050,
+0x3c040001, 0x2484497c, 0x3c050007, 0xafab0014,
+0xafa20010, 0x8f460074, 0x8f470070, 0x34a54500,
+0x240c0001, 0xc002bdf, 0xafac004c, 0x1000ffc6,
+0x0, 0x8f430064, 0x8f420060, 0x1062001a,
+0x608821, 0x274b0064, 0x8fac004c, 0x26220001,
+0x304200ff, 0xafa20048, 0x24020004, 0x1582000e,
+0xafab0044, 0x111180, 0x24420cc0, 0x2e21021,
+0xafa20040, 0x9442002a, 0x8fab0040, 0x8fac0050,
+0x4c102b, 0x10400025, 0x257e0020, 0x240b0001,
+0x10000022, 0xa3ab0062, 0x111140, 0x24424cc0,
+0x1000001e, 0x2e2f021, 0x8f420044, 0x8fac0050,
+0x3c040001, 0x24844988, 0xafac0014, 0xafa20010,
+0x8f460064, 0x8f470060, 0x3c050007, 0xc002bdf,
+0x34a54800, 0x3c020008, 0x2c21024, 0x1440ff66,
+0x0, 0x8f420360, 0x240b0001, 0xafab004c,
+0x4b1021, 0x1000ff94, 0xaf420360, 0x8fac0064,
+0x2663ffff, 0x31840, 0x1831821, 0x94620000,
+0x441021, 0x1000001f, 0xa4620000, 0xafd50018,
+0x93a20062, 0x104000ab, 0x9821, 0x8fab0040,
+0x8fa40050, 0x8fa30064, 0x25620020, 0xafa20028,
+0x25620008, 0xafa20030, 0x25620010, 0xafab002c,
+0xafa20034, 0x9562002a, 0xa7a20038, 0x95620018,
+0xa7a2003a, 0x9562001a, 0xa7a2003c, 0x9562001c,
+0xa7a2003e, 0x94620000, 0x24630002, 0x822023,
+0x1880ffde, 0x26730001, 0x2e620004, 0x1440fff9,
+0x0, 0x18800014, 0x24020681, 0x3c040001,
+0x24844954, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74994, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8f4200fc, 0x262102a,
+0x14400042, 0x24030001, 0x8f83012c, 0x1060003b,
+0x0, 0x8f820124, 0x431023, 0x22143,
+0x58800001, 0x24840040, 0x8f820128, 0x431023,
+0x21143, 0x58400001, 0x24420040, 0x401821,
+0x83102a, 0x54400001, 0x801821, 0x601021,
+0x262102a, 0x10400029, 0xaf4300fc, 0x1000002b,
+0x24030001, 0x8fac0064, 0x101040, 0x8fab0068,
+0x1821021, 0x94470000, 0x101080, 0x1621021,
+0xafb50010, 0x8c420000, 0x3c040001, 0x248449a8,
+0x3c050007, 0x8c420004, 0x34a55500, 0x2003021,
+0xc002bdf, 0xafa20014, 0x3c040001, 0x24844954,
+0x240206b3, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74994, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0x10000038, 0xaf820140, 0x8f420324,
+0x1821, 0x24420001, 0xaf420324, 0x306200ff,
+0x1040fee3, 0x8021, 0x8f430008, 0x2402fbff,
+0x1260002d, 0x625024, 0x2669ffff, 0x3c0c4000,
+0x22c4025, 0x8fb10064, 0x8fb20068, 0x8e420000,
+0x96270000, 0x8c440000, 0x8c450004, 0x16090004,
+0x240c0001, 0x240b0002, 0x10000002, 0xafab0010,
+0xafac0010, 0x16000004, 0xafa80014, 0x8f420008,
+0x10000002, 0xafa20018, 0xafaa0018, 0x8f42010c,
+0x2a03021, 0xafa80070, 0xafa90074, 0x40f809,
+0xafaa0078, 0x8fa80070, 0x8fa90074, 0x8faa0078,
+0x1040ffb0, 0x3c02001f, 0x96230000, 0x3442ffff,
+0x2a3a821, 0x55102b, 0x10400003, 0x26310002,
+0x8f42013c, 0x2a2a823, 0x26100001, 0x213102b,
+0x1440ffda, 0x26520004, 0x8fb00050, 0x1000001a,
+0x0, 0x97c3000a, 0x8fb00050, 0x70102b,
+0x54400001, 0x608021, 0x8fc40000, 0x8fc50004,
+0x8fac004c, 0x240b0002, 0xafab0010, 0x934305b5,
+0xc1700, 0x10600003, 0x2223025, 0x3c020800,
+0xc23025, 0xafa60014, 0x8f420008, 0xafa20018,
+0x8f42010c, 0x2a03021, 0x40f809, 0x2003821,
+0x5040fea8, 0xafb00010, 0x97ab005e, 0x11600007,
+0x97c3000e, 0x934205b5, 0x14400004, 0x0,
+0x97ac0060, 0x6b1825, 0xa7cc0016, 0x8fab0054,
+0x3c02ffff, 0x1621024, 0x10400003, 0xb1402,
+0x34630400, 0xa7c20014, 0xa7d0000a, 0x8fac0050,
+0x560c0006, 0x2b0a821, 0x34620004, 0xafa00050,
+0xa7c2000e, 0x1000000d, 0xa34005b5, 0x8fab0050,
+0x3c02001f, 0x3442ffff, 0x55102b, 0x1705823,
+0xafab0050, 0xa7c3000e, 0x240c0001, 0x10400003,
+0xa34c05b5, 0x8f42013c, 0x2a2a823, 0x8fac0048,
+0x8fab0044, 0xad6c0000, 0x8fab0050, 0x1560fe96,
+0x0, 0x8fac0050, 0x1180001a, 0x0,
+0x934205b5, 0x10400006, 0x0, 0xaf5500c4,
+0xaf4c00c0, 0x8fab0054, 0x1000000e, 0xaf4b00c8,
+0x97ac005e, 0x1180000b, 0x34038100, 0x8fa20020,
+0x8c46000c, 0xa443000c, 0x97ab0060, 0x8c440004,
+0x8c450008, 0xa44b000e, 0xac440000, 0xac450004,
+0xac460008, 0x8f42033c, 0x24420001, 0x10000010,
+0xaf42033c, 0x8fac0054, 0x3184ffff, 0x2484fffc,
+0x801821, 0x8f440240, 0x8f450244, 0x8f460118,
+0x1021, 0xa32821, 0xa3382b, 0x822021,
+0x872021, 0xaf440240, 0xc0f809, 0xaf450244,
+0x8fbf0098, 0x8fbe0094, 0x8fb50090, 0x8fb3008c,
+0x8fb20088, 0x8fb10084, 0x8fb00080, 0x3e00008,
+0x27bd00a0, 0x27bdffd0, 0xafbf0028, 0xafb10024,
+0xafb00020, 0x8f43004c, 0x8f420048, 0x10620047,
+0x401821, 0x8f42004c, 0x628823, 0x6220001,
+0x26310200, 0x8f430054, 0x8f42004c, 0x43102b,
+0x14400004, 0x24020200, 0x8f43004c, 0x10000005,
+0x438023, 0x8f420054, 0x8f43004c, 0x431023,
+0x2450ffff, 0x16000016, 0x2205821, 0x3c040001,
+0x24844954, 0x24020740, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74994, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x2205821,
+0x20b102a, 0x54400001, 0x2005821, 0x8f4a004c,
+0x8f440178, 0x8f45017c, 0xb3940, 0x24081000,
+0x1404821, 0x1203021, 0x94940, 0x1201821,
+0x1021, 0x14b5021, 0x315001ff, 0xafa80010,
+0xafb00014, 0x8f480014, 0xa32821, 0xa3482b,
+0x822021, 0x892021, 0x63140, 0x3403ecc0,
+0xafa80018, 0x8f420108, 0xc33021, 0x40f809,
+0x2e63021, 0x54400001, 0xaf50004c, 0x8f43004c,
+0x8f420048, 0x14620018, 0x0, 0x8f420000,
+0x10400007, 0x0, 0xaf80004c, 0x8f82004c,
+0x1040fffd, 0x0, 0x10000005, 0x0,
+0xaf800048, 0x8f820048, 0x1040fffd, 0x0,
+0x8f820060, 0x2403fdff, 0x431024, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x10000002,
+0xaf80004c, 0xaf800048, 0x8fbf0028, 0x8fb10024,
+0x8fb00020, 0x3e00008, 0x27bd0030, 0x27bdffd0,
+0xafbf0028, 0xafb10024, 0xafb00020, 0x8f43005c,
+0x8f420058, 0x1062005c, 0x401821, 0x8f42005c,
+0x628823, 0x6220001, 0x26310100, 0x8f430064,
+0x8f42005c, 0x43102b, 0x14400004, 0x24020100,
+0x8f43005c, 0x10000005, 0x438023, 0x8f420064,
+0x8f43005c, 0x431023, 0x2450ffff, 0x16000016,
+0x2203821, 0x3c040001, 0x24844954, 0x24020798,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74994, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x2203821, 0x207102a, 0x54400001,
+0x2003821, 0x8f42005c, 0x471021, 0x305000ff,
+0x32c21000, 0x10400015, 0x24082000, 0x8f49005c,
+0x8f440180, 0x8f450184, 0x73980, 0x1203021,
+0x94980, 0x1201821, 0x1021, 0xafa80010,
+0xafb00014, 0x8f480014, 0xa32821, 0xa3482b,
+0x822021, 0x892021, 0x63180, 0xafa80018,
+0x8f420108, 0x10000014, 0x24c60cc0, 0x8f49005c,
+0x8f440180, 0x8f450184, 0x73940, 0x1203021,
+0x94940, 0x1201821, 0x1021, 0xafa80010,
+0xafb00014, 0x8f480014, 0xa32821, 0xa3482b,
+0x822021, 0x892021, 0x63140, 0xafa80018,
+0x8f420108, 0x24c64cc0, 0x40f809, 0x2e63021,
+0x54400001, 0xaf50005c, 0x8f43005c, 0x8f420058,
+0x14620018, 0x0, 0x8f420000, 0x10400007,
+0x0, 0xaf80004c, 0x8f82004c, 0x1040fffd,
+0x0, 0x10000005, 0x0, 0xaf800048,
+0x8f820048, 0x1040fffd, 0x0, 0x8f820060,
+0x2403feff, 0x431024, 0xaf820060, 0x8f420000,
+0x10400003, 0x0, 0x10000002, 0xaf80004c,
+0xaf800048, 0x8fbf0028, 0x8fb10024, 0x8fb00020,
+0x3e00008, 0x27bd0030, 0x27bdffd0, 0xafbf0028,
+0xafb10024, 0xafb00020, 0x8f43006c, 0x8f420068,
+0x10620046, 0x401821, 0x8f42006c, 0x628823,
+0x6220001, 0x26310400, 0x8f430074, 0x8f42006c,
+0x43102b, 0x14400004, 0x24020400, 0x8f43006c,
+0x10000005, 0x438023, 0x8f420074, 0x8f43006c,
+0x431023, 0x2450ffff, 0x16000016, 0x2205821,
+0x3c040001, 0x24844954, 0x24020800, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74994,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x2205821, 0x20b102a, 0x54400001, 0x2005821,
+0x8f4a006c, 0x8f440188, 0x8f45018c, 0xb3940,
+0x24084000, 0x1404821, 0x1203021, 0x94940,
+0x1201821, 0x1021, 0x14b5021, 0x315003ff,
+0xafa80010, 0xafb00014, 0x8f480014, 0xa32821,
+0xa3482b, 0x822021, 0x892021, 0x63140,
+0xafa80018, 0x8f420108, 0x24c66cc0, 0x40f809,
+0x2e63021, 0x54400001, 0xaf50006c, 0x8f43006c,
+0x8f420068, 0x14620018, 0x0, 0x8f420000,
+0x10400007, 0x0, 0xaf80004c, 0x8f82004c,
+0x1040fffd, 0x0, 0x10000005, 0x0,
+0xaf800048, 0x8f820048, 0x1040fffd, 0x0,
+0x8f820060, 0x2403f7ff, 0x431024, 0xaf820060,
+0x8f420000, 0x10400003, 0x0, 0x10000002,
+0xaf80004c, 0xaf800048, 0x8fbf0028, 0x8fb10024,
+0x8fb00020, 0x3e00008, 0x27bd0030, 0x27bdffe0,
+0xafbf001c, 0xafb00018, 0x8f4200fc, 0x8f4400f8,
+0x8f4300f4, 0x24420001, 0xaf4200fc, 0x8f900128,
+0x14830016, 0x3c020001, 0x3c040001, 0x24844954,
+0x24020868, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74994, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x3c020001, 0x8f4300f8,
+0x344230c8, 0x2e21021, 0x54620004, 0x24620008,
+0x3c020001, 0x34422ec8, 0x2e21021, 0x401821,
+0xaf4300f8, 0xac600000, 0x8f4200f4, 0x14620004,
+0x3c020001, 0x26020020, 0x1000000f, 0xaf820128,
+0x8f4300f8, 0x344230c8, 0x2e21021, 0x54620004,
+0x24620008, 0x3c020001, 0x34422ec8, 0x2e21021,
+0x401821, 0x8c620004, 0x21140, 0x2021021,
+0xaf820128, 0xac600000, 0x8e030018, 0x30620070,
+0x1040002c, 0x30620020, 0x10400004, 0x3c020010,
+0x2c21024, 0x1040000d, 0x0, 0x30620040,
+0x10400004, 0x3c020020, 0x2c21024, 0x10400007,
+0x0, 0x30620010, 0x10400034, 0x3c020040,
+0x2c21024, 0x14400031, 0x0, 0x8f820040,
+0x30420001, 0x14400008, 0x2021, 0x8c830104,
+0x24020001, 0x50620005, 0x24040001, 0x8c820264,
+0x10400003, 0x801021, 0x24040001, 0x801021,
+0x10400005, 0x0, 0x8f4202fc, 0x24420001,
+0x1000001e, 0xaf4202fc, 0x8f820044, 0x34420004,
+0xaf820044, 0x8f4202f8, 0x24420001, 0x10000017,
+0xaf4202f8, 0x3062b08f, 0x14400014, 0x24020899,
+0x3c040001, 0x24844954, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74994, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf001c,
+0x8fb00018, 0x3e00008, 0x27bd0020, 0x27bdffa8,
+0xafbf0050, 0xafbe004c, 0xafb50048, 0xafb30044,
+0xafb20040, 0xafb1003c, 0xafb00038, 0x8f4200fc,
+0x24420001, 0xaf4200fc, 0x8f880128, 0x25020020,
+0xaf820128, 0x8d030018, 0x30620070, 0x1040002c,
+0x30620020, 0x10400004, 0x3c020010, 0x2c21024,
+0x1040000d, 0x0, 0x30620040, 0x10400004,
+0x3c020020, 0x2c21024, 0x10400007, 0x0,
+0x30620010, 0x104001a1, 0x3c020040, 0x2c21024,
+0x1440019e, 0x0, 0x8f820040, 0x30420001,
+0x14400008, 0x2021, 0x8c830104, 0x24020001,
+0x50620005, 0x24040001, 0x8c820264, 0x10400003,
+0x801021, 0x24040001, 0x801021, 0x10400005,
+0x0, 0x8f4202fc, 0x24420001, 0x1000018b,
+0xaf4202fc, 0x8f820044, 0x34420004, 0xaf820044,
+0x8f4202f8, 0x24420001, 0x10000184, 0xaf4202f8,
+0x30620002, 0x10400147, 0x3c020800, 0x8d0a001c,
+0x1422024, 0xafaa0020, 0xa5702, 0xafaa0028,
+0x8faa0020, 0x314affff, 0xafaa0020, 0x950a0016,
+0xafaa0024, 0x8faa0028, 0x24020001, 0x15420007,
+0x24020002, 0x8faa0020, 0xa1140, 0x3403ecc0,
+0x431021, 0x10000014, 0x2e2a821, 0x15420006,
+0x24020003, 0x8faa0020, 0xa1140, 0x24426cc0,
+0x1000000d, 0x2e2a821, 0x8faa0028, 0x15420006,
+0x0, 0x8faa0020, 0xa1140, 0x24424cc0,
+0x10000005, 0x2e2a821, 0x8faa0020, 0xa1180,
+0x571021, 0x24550ce0, 0x96a2000e, 0x305efffc,
+0x30420400, 0x144000c7, 0x8821, 0x10800004,
+0x24031000, 0x97b10026, 0x100000c4, 0x33c20004,
+0x8eb30018, 0x9663000c, 0x8821, 0x2c6205dd,
+0x10400016, 0x2202021, 0x32c20800, 0x10400016,
+0x24020800, 0x96630014, 0x14620013, 0x2203021,
+0x9663000e, 0x3402aaaa, 0x14620007, 0x2665000e,
+0x94a30002, 0x24020300, 0x14620004, 0xc01021,
+0x94a20004, 0x2c460001, 0xc01021, 0x54400006,
+0x24040016, 0x10000004, 0x0, 0x24020800,
+0x50620001, 0x2404000e, 0x108000a2, 0x2649021,
+0x92420000, 0x3042000f, 0x28080, 0x32c20100,
+0x1040001e, 0x2501821, 0x3c020020, 0x43102b,
+0x1440000e, 0x2402021, 0x2821, 0x94820000,
+0x24840002, 0xa22821, 0x83102b, 0x1440fffb,
+0x30a2ffff, 0x51c02, 0x622821, 0x51c02,
+0x30a2ffff, 0x10000009, 0x622821, 0x8f47013c,
+0x8f420110, 0x102842, 0x3c060020, 0x40f809,
+0xafa80030, 0x3045ffff, 0x8fa80030, 0x50a00001,
+0x3405ffff, 0x10000002, 0x37de0002, 0x2821,
+0x32c20080, 0x1040007b, 0xa6a50010, 0x26430009,
+0x3c02001f, 0x3442ffff, 0x43102b, 0x10400003,
+0x0, 0x8f42013c, 0x621823, 0x90660000,
+0x30c200ff, 0x38430006, 0x2c630001, 0x38420011,
+0x2c420001, 0x621825, 0x1060006b, 0x24031000,
+0x8821, 0x2602021, 0x94820000, 0x24840002,
+0x2228821, 0x92102b, 0x1440fffb, 0x111c02,
+0x3222ffff, 0x628821, 0x111c02, 0x3222ffff,
+0x628821, 0x32c20200, 0x10400003, 0x26440006,
+0x1000003e, 0x8021, 0x3c05001f, 0x34a5ffff,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x30421fff, 0x10400004,
+0x2644000c, 0x96420002, 0x10000030, 0x508023,
+0x96420002, 0x26430014, 0x508023, 0x3c020020,
+0x43102b, 0x1440000a, 0xd08021, 0x9642000c,
+0x2028021, 0x9642000e, 0x96430010, 0x96440012,
+0x2028021, 0x2038021, 0x10000020, 0x2048021,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x24840002, 0x2028021,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x24840002, 0x2028021,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x24840002, 0x2028021,
+0xa4102b, 0x10400003, 0x0, 0x8f42013c,
+0x822023, 0x94820000, 0x2028021, 0x3c020100,
+0x2c21024, 0x1040000c, 0x33c20004, 0x1040000a,
+0x0, 0x9504000e, 0x2642021, 0xc004050,
+0x2484fffc, 0x3042ffff, 0x2228821, 0x111c02,
+0x3222ffff, 0x628821, 0x8faa0024, 0x1518823,
+0x111402, 0x2228821, 0x2308821, 0x111402,
+0x2228821, 0x3231ffff, 0x52200001, 0x3411ffff,
+0x37de0001, 0x24031000, 0x33c20004, 0xa6b10012,
+0x10400002, 0xa6be000e, 0x34038000, 0x8f420044,
+0x8f440190, 0x8f450194, 0xafa30010, 0x8f430044,
+0x21140, 0x404821, 0xafa30014, 0x8f42000c,
+0x2a03021, 0x24070020, 0xafa20018, 0x8f42010c,
+0x4021, 0xa92821, 0xa9182b, 0x882021,
+0x40f809, 0x832021, 0x14400020, 0x0,
+0x8f820128, 0x8faa0020, 0x3c040001, 0x248449e0,
+0xafaa0014, 0xafa20010, 0x8f860124, 0x8f870120,
+0x3c050007, 0xc002bdf, 0x34a59920, 0x3c040001,
+0x24844954, 0x24020a9d, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74994, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8f420044,
+0x8f440088, 0x8f430358, 0x24420001, 0x441024,
+0x2463ffff, 0xaf420044, 0xaf430358, 0x8faa0028,
+0x24020001, 0x15420005, 0x24020002, 0x8f42034c,
+0x2442ffff, 0x10000045, 0xaf42034c, 0x15420005,
+0x0, 0x8f420354, 0x2442ffff, 0x1000003f,
+0xaf420354, 0x8f420350, 0x2442ffff, 0x1000003b,
+0xaf420350, 0x30641000, 0x10800005, 0x30628000,
+0x8f420078, 0x24420001, 0x10000034, 0xaf420078,
+0x10400032, 0x0, 0x8f420078, 0x24420001,
+0xaf420078, 0x8c830240, 0x43102b, 0x1440002b,
+0x24070008, 0x8f440158, 0x8f45015c, 0x8f430044,
+0x8f48000c, 0x8f860120, 0x24020040, 0xafa20010,
+0xafa30014, 0xafa80018, 0x8f42010c, 0x40f809,
+0x24c6001c, 0x14400011, 0x24020001, 0x3c010001,
+0x370821, 0xa02240f2, 0x8f820124, 0xafa20010,
+0x8f820128, 0x3c040001, 0x2484494c, 0xafa20014,
+0x8f460044, 0x8f870120, 0x3c050009, 0xc002bdf,
+0x34a51300, 0x1000000a, 0x0, 0x8f4202f4,
+0x8f430044, 0x24420001, 0xaf43007c, 0xaf4202f4,
+0x3c010001, 0x370821, 0xa02040f2, 0xaf400078,
+0x8f420308, 0x24420001, 0xaf420308, 0x8fbf0050,
+0x8fbe004c, 0x8fb50048, 0x8fb30044, 0x8fb20040,
+0x8fb1003c, 0x8fb00038, 0x3e00008, 0x27bd0058,
+0x8f8300e4, 0x27623ff8, 0x14620002, 0x24620008,
+0x27623000, 0x401821, 0xaf8300e8, 0x3e00008,
+0xaf8300e4, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x8f8400e0,
+0x8f8800c4, 0x8f8300e8, 0x2402fff8, 0x823824,
+0xe32023, 0x2c821000, 0x50400001, 0x24841000,
+0x420c2, 0x801821, 0x8f440248, 0x8f45024c,
+0x1021, 0xa32821, 0xa3302b, 0x822021,
+0x862021, 0xaf440248, 0xaf45024c, 0x8f8300c8,
+0x8f42013c, 0x1032023, 0x82102b, 0x14400004,
+0x801821, 0x8f42013c, 0x822021, 0x801821,
+0x8f440240, 0x8f450244, 0x1021, 0xa32821,
+0xa3302b, 0x822021, 0x862021, 0xaf440240,
+0xaf450244, 0xaf8800c8, 0xaf8700e4, 0x3e00008,
+0xaf8700e8, 0x3e00008, 0x0, 0x3e00008,
+0x0, 0x3e00008, 0x0, 0x8f8500e4,
+0x8f8200e0, 0x2403fff8, 0x431024, 0x10a20006,
+0x24020001, 0x8ca60000, 0x8ca70004, 0xac860000,
+0x3e00008, 0xac870004, 0x3e00008, 0x1021,
+0x3e00008, 0x0, 0x0, 0x27bdffd8,
+0xafbf0020, 0xafb1001c, 0xafb00018, 0x8f420394,
+0x24420001, 0xaf420394, 0x8f900220, 0x8f4303a8,
+0x3c020001, 0x8c425038, 0x3c040001, 0x24844ac8,
+0x3c050008, 0xafa20014, 0xafa30010, 0x8f4703ac,
+0x34a50400, 0xc002bdf, 0x2003021, 0x3c024000,
+0x2021024, 0x104000d9, 0x3c040100, 0x8f4203ac,
+0x24420001, 0xaf4203ac, 0x8f820220, 0x3c0308ff,
+0x3463ffff, 0x431024, 0x34420004, 0xaf820220,
+0x8f8200e0, 0x8f8300c4, 0x3c02001f, 0x3442ffff,
+0x24680008, 0x48102b, 0x10400003, 0x0,
+0x8f42013c, 0x1024023, 0x8f8700c8, 0x8f840120,
+0x8f830124, 0x10000005, 0x5821, 0x8f42012c,
+0x62102b, 0x50400001, 0x27634800, 0x1083000c,
+0x316200ff, 0x8c620018, 0x2442ffff, 0x2c420002,
+0x5040fff6, 0x24630020, 0x8f4203c0, 0x240b0001,
+0x24420001, 0xaf4203c0, 0x8c670008, 0x316200ff,
+0x14400072, 0x0, 0x934205b5, 0x1440006f,
+0x0, 0x8f8500e4, 0x8f8200e0, 0x2403fff8,
+0x433024, 0xc51023, 0x218c3, 0x4620001,
+0x24630100, 0x8f8a00c4, 0x10600005, 0x24020001,
+0x10620008, 0x0, 0x1000001d, 0x0,
+0x8f4203b0, 0x1403821, 0x24420001, 0x1000005b,
+0xaf4203b0, 0x8f4203b4, 0x24420001, 0xaf4203b4,
+0x8ca70000, 0x8f42013c, 0x1471823, 0x43102b,
+0x10400004, 0x2c62233f, 0x8f42013c, 0x621821,
+0x2c62233f, 0x1440004d, 0x3c020100, 0xaca20004,
+0x8f8200e8, 0x24420008, 0xaf8200e8, 0x8f8200e4,
+0x1403821, 0x24420008, 0x10000044, 0xaf8200e4,
+0x8f4203b8, 0x24420001, 0xaf4203b8, 0x8ca30000,
+0x8f42013c, 0x682023, 0x44102b, 0x10400003,
+0x0, 0x8f42013c, 0x822021, 0x8f420140,
+0x44102b, 0x50400003, 0x3c030100, 0x10000033,
+0x603821, 0x8ca20004, 0x431025, 0xaca20004,
+0x8f8200e4, 0x24450008, 0xaf8500e4, 0x8f8500e4,
+0x10a60024, 0x604821, 0x8f4201fc, 0x24420001,
+0xaf4201fc, 0x8ca20004, 0x491024, 0x1440000e,
+0x0, 0x8ca30000, 0x8f42013c, 0x682023,
+0x44102b, 0x10400003, 0x0, 0x8f42013c,
+0x822021, 0x8f420140, 0x44102b, 0x10400006,
+0x0, 0x603821, 0x8f420140, 0x44102b,
+0x1440000a, 0x0, 0x8ca20004, 0x491025,
+0xaca20004, 0x8f8200e4, 0x24450008, 0xaf8500e4,
+0x8f8500e4, 0x14a6ffe0, 0x0, 0x14a60005,
+0x0, 0x1403821, 0xaf8600e4, 0x10000003,
+0xaf8600e8, 0xaf8500e4, 0xaf8500e8, 0x8f8300c8,
+0x8f42013c, 0x682023, 0x44102b, 0x10400003,
+0x0, 0x8f42013c, 0x822021, 0x8f420140,
+0x82102b, 0x50400008, 0x5821, 0x8f42013c,
+0xe82023, 0x44102b, 0x10400003, 0x0,
+0x8f42013c, 0x822021, 0x8f420140, 0x82102b,
+0x10400006, 0x316200ff, 0x1440001c, 0x3c02fdff,
+0x934205b5, 0x14400019, 0x3c02fdff, 0xaf8700c8,
+0x8f8400c8, 0x8f8300c4, 0x8f42013c, 0x832023,
+0x44102b, 0x10400003, 0x0, 0x8f42013c,
+0x822021, 0x8f420140, 0x2c830001, 0x44102b,
+0x431025, 0x10400009, 0x3c02fdff, 0x8f820220,
+0x3c0308ff, 0x3463fffb, 0x431024, 0x3c034000,
+0x431025, 0x100000ac, 0xaf820220, 0x3442ffff,
+0x8f4303bc, 0x282a024, 0x24020001, 0xa34205b1,
+0x24630001, 0x100000a4, 0xaf4303bc, 0x2041024,
+0x1040000d, 0x3c110200, 0x8f420398, 0x24420001,
+0xaf420398, 0x8f820220, 0x3c0308ff, 0x3463ffff,
+0x431024, 0x441025, 0xc003f1c, 0xaf820220,
+0x10000095, 0x0, 0x2111024, 0x50400007,
+0x3c110400, 0x8f42039c, 0x24420001, 0xc003f1c,
+0xaf42039c, 0x1000002c, 0x0, 0x2111024,
+0x10400030, 0x3c020800, 0x8f830224, 0x24021402,
+0x1462001d, 0x3c050008, 0x3c040001, 0x24844ad4,
+0xafa00010, 0xafa00014, 0x8f860224, 0x34a50500,
+0xc002bdf, 0x3821, 0x3c040001, 0x24844aa0,
+0x2402037a, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74ae0, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8f4203a0, 0x24420001,
+0xaf4203a0, 0x8f820220, 0x2002021, 0x34420002,
+0xc004a40, 0xaf820220, 0x8f820220, 0x3c0308ff,
+0x3463ffff, 0x431024, 0x511025, 0x1000005a,
+0xaf820220, 0x2021024, 0x10400014, 0x2402038b,
+0x3c040001, 0x24844aa0, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74ae0, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x3c021000,
+0x2021024, 0x10400014, 0x2402038f, 0x3c040001,
+0x24844aa0, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74ae0, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x3c022000, 0x2021024,
+0x10400014, 0x24020393, 0x3c040001, 0x24844aa0,
+0xafa20010, 0xafa00014, 0x8f860144, 0x3c070001,
+0x24e74ae0, 0xc002bdf, 0x3405dead, 0x8f82011c,
+0x34420002, 0xaf82011c, 0x8f820220, 0x34420004,
+0xaf820220, 0x8f820140, 0x3c030001, 0x431025,
+0xaf820140, 0x6010014, 0x24020398, 0x3c040001,
+0x24844aa0, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74ae0, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8fbf0020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0028, 0x3c020001,
+0x8c425038, 0x27bdffb0, 0xafbf0048, 0xafbe0044,
+0xafb50040, 0xafb3003c, 0xafb20038, 0xafb10034,
+0x1040000f, 0xafb00030, 0x3c040001, 0x24844ae8,
+0x3c050008, 0xafa00010, 0xafa00014, 0x8f860220,
+0x34a50600, 0x24020001, 0x3c010001, 0xac205038,
+0x3c010001, 0xac22502c, 0xc002bdf, 0x3821,
+0x3c037fff, 0x3021, 0x8cc20268, 0x3463ffff,
+0x3c04fdff, 0x431024, 0xacc20268, 0x8f420004,
+0x3484ffff, 0x30420002, 0x1040008d, 0x284a024,
+0x3c040600, 0x34842000, 0x8f420004, 0xc02821,
+0x2403fffd, 0x431024, 0xaf420004, 0xafa40020,
+0x8f5e0018, 0x27aa0020, 0x240200ff, 0x13c20002,
+0xafaa0028, 0x27c50001, 0x8cc20228, 0xa09021,
+0x1642000d, 0x1e40c0, 0x8f42032c, 0x24420001,
+0xaf42032c, 0x8cc20228, 0x3c040001, 0x24844a68,
+0x3c050009, 0xafa00014, 0xafa20010, 0x8fa60020,
+0x1000006a, 0x34a50500, 0x2e81021, 0x8fab0020,
+0x8fac0024, 0xac4b04c0, 0xac4c04c4, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x1040001b, 0x9821, 0x1008821, 0x263504c0,
+0x8f440168, 0x8f45016c, 0x2204821, 0x240b0004,
+0xafab0010, 0xafb20014, 0x8f42000c, 0x4021,
+0x2f53021, 0xafa20018, 0x8f42010c, 0x24070008,
+0xa92821, 0xa9182b, 0x882021, 0x40f809,
+0x832021, 0x54400006, 0x24130001, 0x8f820054,
+0x2021023, 0x2c4203e9, 0x1440ffe9, 0x0,
+0x326200ff, 0x54400015, 0xaf520018, 0x8f420368,
+0x24420001, 0xaf420368, 0x8f820120, 0x8fac0028,
+0xafa20010, 0x8f820124, 0x3c040001, 0x24844a74,
+0x3c050009, 0xafa20014, 0x8d860000, 0x10000033,
+0x34a50600, 0x8f4202f8, 0x24130001, 0x24420001,
+0xaf4202f8, 0x1000001e, 0x326200ff, 0x8f830054,
+0x8f820054, 0x247003e8, 0x2021023, 0x2c4203e9,
+0x10400016, 0x9821, 0x3c150020, 0x24110010,
+0x8f42000c, 0x8f440150, 0x8f450154, 0x8f860120,
+0xafb10010, 0xafb20014, 0x551025, 0xafa20018,
+0x8f42010c, 0x24070008, 0x40f809, 0x24c6001c,
+0x1440ffe4, 0x0, 0x8f820054, 0x2021023,
+0x2c4203e9, 0x1440ffee, 0x0, 0x326200ff,
+0x14400010, 0x0, 0x8f420368, 0x24420001,
+0xaf420368, 0x8f820120, 0x8fad0028, 0xafa20010,
+0x8f820124, 0x3c040001, 0x24844a7c, 0x3c050009,
+0xafa20014, 0x8da60000, 0x34a50700, 0xc002bdf,
+0x3c03821, 0x8f4202dc, 0x24420001, 0xaf4202dc,
+0x8fbf0048, 0x8fbe0044, 0x8fb50040, 0x8fb3003c,
+0x8fb20038, 0x8fb10034, 0x8fb00030, 0x3e00008,
+0x27bd0050, 0x3e00008, 0x0, 0x27bdffe0,
+0x3c050008, 0x34a50300, 0xafbf0018, 0xafa00010,
+0xafa00014, 0x8f860200, 0x3c040001, 0x24844abc,
+0xc002bdf, 0x3821, 0x8f420400, 0x24420001,
+0xaf420400, 0x8fbf0018, 0x3e00008, 0x27bd0020,
+0x8f420130, 0xaf8200c0, 0x8f420130, 0xaf8200c4,
+0x8f420130, 0xaf8200c8, 0x8f42012c, 0xaf8200d0,
+0x8f42012c, 0xaf8200d4, 0x8f42012c, 0x3e00008,
+0xaf8200d8, 0x27bdffe0, 0x27840208, 0x24050200,
+0xafbf0018, 0xc002c1b, 0x24060008, 0x8c020204,
+0xc0041bf, 0xaf820210, 0x2021, 0x801021,
+0x8c460248, 0x24050004, 0xa01021, 0x3c010001,
+0xac225028, 0xc004c80, 0x0, 0x3c020001,
+0x8c425024, 0x30420001, 0x10400007, 0x24020001,
+0x3c010001, 0xac225028, 0x2021, 0x402821,
+0xc004c80, 0x3c06601b, 0x3c040001, 0x24844ab0,
+0x8f420144, 0x8f430148, 0x3c050008, 0x8f46014c,
+0x21640, 0x31940, 0x34630403, 0x431025,
+0x633c0, 0x461025, 0xaf82021c, 0xafa00010,
+0xafa00014, 0x8f86021c, 0x34a50200, 0xc002bdf,
+0x3821, 0x8fbf0018, 0x3c010001, 0xac205020,
+0x3c010001, 0xac205038, 0x3e00008, 0x27bd0020,
+0x3c020001, 0x8c425038, 0x27bdffe0, 0x1440000d,
+0xafbf0018, 0x3c040001, 0x24844af4, 0x3c050008,
+0xafa00010, 0xafa00014, 0x8f860220, 0x34a50700,
+0x24020001, 0x3c010001, 0xac225038, 0xc002bdf,
+0x3821, 0x3c020004, 0x2c21024, 0x10400008,
+0x2021, 0x8f820220, 0x3c0308ff, 0x3463ffff,
+0x431024, 0x34420008, 0xaf820220, 0x2021,
+0xc004d90, 0x24050004, 0x1821, 0xac620268,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x802821,
+0x30a20001, 0x1040002b, 0x3c03001f, 0x3463ffff,
+0x24a20004, 0x62102b, 0x54400007, 0x65102b,
+0x90a20001, 0x90a40003, 0x90a30000, 0x90a50002,
+0x1000002a, 0x441021, 0x10400003, 0x0,
+0x8f42013c, 0xa22823, 0x90a40000, 0x24a50001,
+0x65102b, 0x10400003, 0x0, 0x8f42013c,
+0xa22823, 0x90a20000, 0x24a50001, 0x21200,
+0x822021, 0x65102b, 0x10400003, 0x0,
+0x8f42013c, 0xa22823, 0x90a20000, 0x24a50001,
+0x822021, 0x65102b, 0x10400003, 0x0,
+0x8f42013c, 0xa22823, 0x90a20000, 0x1000002d,
+0x21200, 0x3463ffff, 0x24a20004, 0x62102b,
+0x5440000a, 0x65102b, 0x90a20000, 0x90a40002,
+0x90a30001, 0x90a50003, 0x441021, 0x21200,
+0x651821, 0x10000020, 0x432021, 0x10400003,
+0x0, 0x8f42013c, 0xa22823, 0x90a20000,
+0x24a50001, 0x22200, 0x65102b, 0x10400003,
+0x0, 0x8f42013c, 0xa22823, 0x90a20000,
+0x24a50001, 0x822021, 0x65102b, 0x10400003,
+0x0, 0x8f42013c, 0xa22823, 0x90a20000,
+0x24a50001, 0x21200, 0x822021, 0x65102b,
+0x10400003, 0x0, 0x8f42013c, 0xa22823,
+0x90a20000, 0x822021, 0x41c02, 0x3082ffff,
+0x622021, 0x41c02, 0x3082ffff, 0x622021,
+0x3e00008, 0x3082ffff, 0x86102b, 0x50400001,
+0x872023, 0xc41023, 0x24843, 0x125102b,
+0x1040001b, 0x91040, 0x824021, 0x88102b,
+0x10400007, 0x1821, 0x94820000, 0x24840002,
+0x621821, 0x88102b, 0x1440fffb, 0x0,
+0x602021, 0xc73023, 0xa91023, 0x21040,
+0xc22821, 0xc5102b, 0x10400007, 0x1821,
+0x94c20000, 0x24c60002, 0x621821, 0xc5102b,
+0x1440fffb, 0x0, 0x1000000d, 0x832021,
+0x51040, 0x822821, 0x85102b, 0x10400007,
+0x1821, 0x94820000, 0x24840002, 0x621821,
+0x85102b, 0x1440fffb, 0x0, 0x602021,
+0x41c02, 0x3082ffff, 0x622021, 0x41c02,
+0x3082ffff, 0x622021, 0x3e00008, 0x3082ffff,
+0x3e00008, 0x0, 0x0, 0x8f820220,
+0x34420002, 0xaf820220, 0x3c020001, 0x8c427238,
+0x30424000, 0x10400056, 0x24040001, 0x8f820200,
+0x24067fff, 0x8f830200, 0x30450002, 0x2402fffd,
+0x621824, 0xaf830200, 0xaf840204, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820224, 0x1444004f, 0x42040, 0xc4102b,
+0x1040fff1, 0x0, 0x8f820200, 0x451025,
+0xaf820200, 0x8f820220, 0x34428000, 0xaf820220,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630001,
+0x8f820054, 0x621023, 0x2c420002, 0x1440fffc,
+0x0, 0x8f820220, 0x3c030004, 0x431024,
+0x1440000f, 0x0, 0x8f820220, 0x3c03ffff,
+0x34637fff, 0x431024, 0xaf820220, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820220, 0x3c030004, 0x431024, 0x1440000d,
+0x0, 0x8f820220, 0x34428000, 0xaf820220,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630001,
+0x8f820054, 0x621023, 0x2c420002, 0x1440fffc,
+0x0, 0x8f820220, 0x3c030004, 0x431024,
+0x10400014, 0x0, 0x8f830220, 0x24020001,
+0x3c04f700, 0x641825, 0x3e00008, 0xaf830220,
+0x8f820220, 0x3c04f700, 0x441025, 0xaf820220,
+0x8f820220, 0x2403fffd, 0x431024, 0xaf820220,
+0x8f820220, 0x3c030300, 0x431024, 0x14400003,
+0x0, 0x3e00008, 0x1021, 0x8f820220,
+0x34420002, 0xaf820220, 0x8f830220, 0x24020001,
+0x641825, 0x3e00008, 0xaf830220, 0x27bdffe0,
+0x2021, 0x3c050100, 0x24020001, 0xafbf0018,
+0xaf80021c, 0xaf820200, 0xaf820220, 0x27625000,
+0xaf8200c0, 0xaf8200c4, 0xaf8200c8, 0xaf8200d0,
+0xaf8200d4, 0xaf8200d8, 0x27623000, 0xaf8200e0,
+0xaf8200e4, 0xaf8200e8, 0x27622800, 0xaf8200f0,
+0xaf8200f4, 0xaf8200f8, 0x418c0, 0x24840001,
+0x3631021, 0xac453004, 0xac403000, 0x28820200,
+0x1440fffa, 0x418c0, 0x2021, 0x418c0,
+0x24840001, 0x3631021, 0xac402804, 0xac402800,
+0x28820100, 0x1440fffa, 0x418c0, 0xaf80023c,
+0x24030080, 0x24040100, 0xac600000, 0x24630004,
+0x64102b, 0x5440fffd, 0xac600000, 0x8f830040,
+0x3c02f000, 0x621824, 0x3c025000, 0x1062000c,
+0x43102b, 0x14400006, 0x3c026000, 0x3c024000,
+0x1062000b, 0x2402027d, 0x1000000d, 0x0,
+0x10620007, 0x2402027d, 0x10000009, 0x0,
+0x24020700, 0x3c010001, 0x10000018, 0xac22503c,
+0x24020800, 0x3c010001, 0x10000014, 0xac22503c,
+0x3c040001, 0x24844bd0, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74be8, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0xaf820140, 0x8fbf0018,
+0x3e00008, 0x27bd0020, 0x27bdffc8, 0xafbf0034,
+0xafb20030, 0xafb1002c, 0xafb00028, 0x3c010001,
+0xc004999, 0xac205024, 0x24040001, 0x2821,
+0x27a60020, 0x34028000, 0xc0049fd, 0xa7a20020,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630064,
+0x8f820054, 0x621023, 0x2c420065, 0x1440fffc,
+0x24040001, 0x802821, 0xc0049bb, 0x27a60020,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630064,
+0x8f820054, 0x621023, 0x2c420065, 0x1440fffc,
+0x24040001, 0x802821, 0xc0049bb, 0x27a60020,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630064,
+0x8f820054, 0x621023, 0x2c420065, 0x1440fffc,
+0x24040001, 0x24050002, 0xc0049bb, 0x27a60018,
+0x8f830054, 0x8f820054, 0x10000002, 0x24630064,
+0x8f820054, 0x621023, 0x2c420065, 0x1440fffc,
+0x24040001, 0x24050003, 0xc0049bb, 0x27a6001a,
+0x97a20020, 0x10400029, 0x24020001, 0x3c020001,
+0x8c425024, 0x97a30018, 0x34420001, 0x3c010001,
+0xac225024, 0x24020015, 0x14620009, 0x0,
+0x97a2001a, 0x3843f423, 0x2c630001, 0x3842f430,
+0x2c420001, 0x621825, 0x14600018, 0x24020003,
+0x97a30018, 0x24027810, 0x14620014, 0x24020002,
+0x97a3001a, 0x24020001, 0x14620010, 0x24020002,
+0x1000000e, 0x24020004, 0x3c020001, 0x8c425024,
+0x34420008, 0x3c010001, 0xac225024, 0x10000058,
+0x24020004, 0x3c020001, 0x8c425024, 0x34420004,
+0x3c010001, 0x100000a9, 0xac225024, 0x3c010001,
+0xac225180, 0x24020e00, 0xaf820238, 0x8f840054,
+0x8f820054, 0x24030008, 0x3c010001, 0xac235028,
+0x10000002, 0x248401f4, 0x8f820054, 0x821023,
+0x2c4201f5, 0x1440fffc, 0x3c0200c8, 0x344201fb,
+0xaf820238, 0x8f830054, 0x8f820054, 0x10000002,
+0x246301f4, 0x8f820054, 0x621023, 0x2c4201f5,
+0x1440fffc, 0x8021, 0x24120001, 0x24110009,
+0xc0044cf, 0x0, 0x3c010001, 0xac325040,
+0xc00457a, 0x0, 0x3c020001, 0x8c425040,
+0x1451fffb, 0x3c0200c8, 0x344201f6, 0xaf820238,
+0x8f830054, 0x8f820054, 0x10000002, 0x2463000a,
+0x8f820054, 0x621023, 0x2c42000b, 0x1440fffc,
+0x0, 0x8f820220, 0x24040001, 0x34420002,
+0xaf820220, 0x8f830200, 0x24057fff, 0x2402fffd,
+0x621824, 0xaf830200, 0xaf840204, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820224, 0x14440005, 0x34028000, 0x42040,
+0xa4102b, 0x1040fff0, 0x34028000, 0x1082ffa6,
+0x26100001, 0x2e020014, 0x1440ffcd, 0x24020004,
+0x3c010001, 0xac225028, 0x8021, 0x24120009,
+0x3c11ffff, 0x36313f7f, 0xc0044cf, 0x0,
+0x24020001, 0x3c010001, 0xac225040, 0xc00457a,
+0x0, 0x3c020001, 0x8c425040, 0x1452fffb,
+0x0, 0x8f820044, 0x511024, 0x34425080,
+0xaf820044, 0x8f830054, 0x8f820054, 0x10000002,
+0x2463000a, 0x8f820054, 0x621023, 0x2c42000b,
+0x1440fffc, 0x0, 0x8f820044, 0x511024,
+0x3442f080, 0xaf820044, 0x8f830054, 0x8f820054,
+0x10000002, 0x2463000a, 0x8f820054, 0x621023,
+0x2c42000b, 0x1440fffc, 0x0, 0x8f820220,
+0x3c03f700, 0x431025, 0xaf820220, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630064, 0x8f820054,
+0x621023, 0x2c420065, 0x1440fffc, 0x0,
+0x8f820220, 0x24040001, 0x34420002, 0xaf820220,
+0x8f830200, 0x24057fff, 0x2402fffd, 0x621824,
+0xaf830200, 0xaf840204, 0x8f830054, 0x8f820054,
+0x10000002, 0x24630001, 0x8f820054, 0x621023,
+0x2c420002, 0x1440fffc, 0x0, 0x8f820224,
+0x14440005, 0x34028000, 0x42040, 0xa4102b,
+0x1040fff0, 0x34028000, 0x1082ff56, 0x26100001,
+0x2e020064, 0x1440ffb0, 0x0, 0x3c020001,
+0x8c425024, 0x30420004, 0x14400007, 0x3c08fff0,
+0x8f820044, 0x3c03ffff, 0x34633f7f, 0x431024,
+0xaf820044, 0x3c08fff0, 0x3508bdc0, 0x8f830054,
+0x97a60018, 0x3c070001, 0x8ce75180, 0x3c040001,
+0x24844c00, 0x24020001, 0x3c010001, 0xac22502c,
+0xafa60010, 0x3c060001, 0x8cc65024, 0x97a2001a,
+0x3c05000d, 0x34a50100, 0x3c010001, 0xac205028,
+0x681821, 0x3c010001, 0xac235178, 0xc002bdf,
+0xafa20014, 0x8fbf0034, 0x8fb20030, 0x8fb1002c,
+0x8fb00028, 0x3e00008, 0x27bd0038, 0x27bdffe8,
+0x24070004, 0x3c040001, 0x8c845028, 0x3021,
+0x24020001, 0x1482000a, 0xafbf0010, 0x3c020001,
+0x8c42723c, 0x3c050004, 0x30428000, 0x1040000c,
+0x34a593e0, 0x3c05000f, 0x10000009, 0x34a54240,
+0x3c020001, 0x8c42723c, 0x3c05000f, 0x30428000,
+0x10400003, 0x34a54240, 0x3c05001e, 0x34a58480,
+0x3c020001, 0x8c425178, 0x8f830054, 0x451021,
+0x431023, 0x45102b, 0x1440002e, 0x0,
+0x3c020001, 0x8c425030, 0x1440002a, 0x2cc20001,
+0x7182b, 0x431024, 0x1040001d, 0x0,
+0x3c090001, 0x8d295024, 0x240b0001, 0x3c054000,
+0x3c080001, 0x2508723c, 0x250afffc, 0x42042,
+0x14800002, 0x24e7ffff, 0x24040008, 0x891024,
+0x1040000b, 0x2cc20001, 0x148b0004, 0x0,
+0x8d020000, 0x10000003, 0x451024, 0x8d420000,
+0x451024, 0x54400001, 0x24060001, 0x2cc20001,
+0x7182b, 0x431024, 0x5440ffed, 0x42042,
+0x3c010001, 0x10c00020, 0xac245028, 0x8f830054,
+0x24020001, 0x3c010001, 0xac22502c, 0x3c010001,
+0xac235178, 0x3c020001, 0x8c42502c, 0x10400004,
+0x24020001, 0x3c010001, 0xac20502c, 0xaee204b8,
+0x8ee304b8, 0x24020008, 0x10620005, 0x24020001,
+0xc004362, 0x0, 0x1000000b, 0x0,
+0x3c030001, 0x8c635028, 0x10620007, 0x2402000e,
+0x3c030001, 0x8c6371d0, 0x10620003, 0x0,
+0xc004a40, 0x8f840220, 0x8fbf0010, 0x3e00008,
+0x27bd0018, 0x27bdffe0, 0x3c03fdff, 0x3c040001,
+0x8c845028, 0x3c020001, 0x8c425048, 0x3463ffff,
+0x283a024, 0x14820006, 0xafbf0018, 0x8ee304b8,
+0x3c020001, 0x8c42504c, 0x10620006, 0x0,
+0x8ee204b8, 0x3c010001, 0xac245048, 0x3c010001,
+0xac22504c, 0x3c030001, 0x8c635028, 0x24020002,
+0x10620152, 0x2c620003, 0x10400005, 0x24020001,
+0x1062000a, 0x0, 0x1000014a, 0x0,
+0x24020004, 0x10620080, 0x24020008, 0x106200b2,
+0x24020001, 0x10000143, 0x0, 0x8ee204b8,
+0x2443ffff, 0x2c620008, 0x10400140, 0x31080,
+0x3c010001, 0x220821, 0x8c224c18, 0x400008,
+0x0, 0xc0044cf, 0x0, 0x3c020001,
+0x8c425034, 0x3c010001, 0xac204fc0, 0x104000ec,
+0x24020002, 0xaee204b8, 0x3c010001, 0x1000012f,
+0xac205034, 0xc0045f4, 0x0, 0x3c030001,
+0x8c635050, 0x100000b2, 0x24020011, 0x3c050001,
+0x8ca55028, 0x3c060001, 0x8cc6723c, 0xc004c80,
+0x2021, 0x24020005, 0x3c010001, 0xac205034,
+0x1000011e, 0xaee204b8, 0x3c040001, 0x24844c0c,
+0x3c05000f, 0x34a50100, 0x3021, 0xc03821,
+0xafa00010, 0xc002bdf, 0xafa00014, 0x3c040001,
+0x24844bd0, 0x24020423, 0xafa20010, 0xafa00014,
+0x8f860144, 0x3c070001, 0x24e74be8, 0xc002bdf,
+0x3405dead, 0x8f82011c, 0x34420002, 0xaf82011c,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820140,
+0x3c030001, 0x431025, 0x10000100, 0xaf820140,
+0x8f820220, 0x3c03f700, 0x431025, 0x100000a5,
+0xaf820220, 0x8f820220, 0x3c030004, 0x431024,
+0x144000b0, 0x24020007, 0x8f830054, 0x3c020001,
+0x8c425170, 0x2463d8f0, 0x431023, 0x2c422710,
+0x144000ee, 0x24020001, 0x100000ea, 0x0,
+0x3c050001, 0x8ca55028, 0xc004d90, 0x2021,
+0xc004e60, 0x2021, 0x3c030001, 0x8c637234,
+0x46100e0, 0x24020001, 0x3c020008, 0x621024,
+0x10400006, 0x0, 0x8f820214, 0x3c03ffff,
+0x431024, 0x10000005, 0x3442251f, 0x8f820214,
+0x3c03ffff, 0x431024, 0x3442241f, 0xaf820214,
+0x8f820220, 0x3c030200, 0x283a025, 0x34420002,
+0xaf820220, 0x24020008, 0xc00402d, 0xaee204b8,
+0x100000ca, 0x0, 0x8ee204b8, 0x2443ffff,
+0x2c620008, 0x104000c5, 0x31080, 0x3c010001,
+0x220821, 0x8c224c38, 0x400008, 0x0,
+0x3c020001, 0x8c427238, 0x30424000, 0x10400004,
+0x0, 0x8f820044, 0x10000006, 0x3442f080,
+0x8f820044, 0x3c03ffff, 0x34633f7f, 0x431024,
+0x3442a080, 0xaf820044, 0x8f830054, 0x1000005b,
+0x24020004, 0xc0040ec, 0x0, 0x104000a9,
+0x24020001, 0x8f820214, 0x3c03ffff, 0x3c040001,
+0x8c845168, 0x431024, 0x3442251f, 0xaf820214,
+0x24020008, 0x10800005, 0xaee204b8, 0x3c020001,
+0x8c4250b4, 0x1040006f, 0x24020001, 0x8f820220,
+0x3c030008, 0x431024, 0x10400075, 0x3c020200,
+0x10000083, 0x0, 0x8ee204b8, 0x2443ffff,
+0x2c620007, 0x10400091, 0x31080, 0x3c010001,
+0x220821, 0x8c224c58, 0x400008, 0x0,
+0xc003f1c, 0x0, 0x3c010001, 0xac20502c,
+0xaf800204, 0x3c010001, 0xac207220, 0xc0044cf,
+0x0, 0x24020001, 0x3c010001, 0xac225040,
+0x24020002, 0x1000007d, 0xaee204b8, 0xc00457a,
+0x0, 0x3c030001, 0x8c635040, 0x24020009,
+0x14620076, 0x24020003, 0x10000074, 0xaee204b8,
+0x3c020001, 0x8c427238, 0x30424000, 0x10400003,
+0x3c0200c8, 0x10000002, 0x344201f6, 0x344201fe,
+0xaf820238, 0x8f830054, 0x10000014, 0x24020004,
+0x8f830054, 0x3c020001, 0x8c425170, 0x2463d8f0,
+0x431023, 0x2c422710, 0x14400060, 0x24020005,
+0x1000005e, 0xaee204b8, 0x8f820220, 0x3c03f700,
+0x431025, 0xaf820220, 0xaf800204, 0x3c010001,
+0xac207220, 0x8f830054, 0x24020006, 0xaee204b8,
+0x3c010001, 0xac235170, 0x10000050, 0x0,
+0x8f830054, 0x3c020001, 0x8c425170, 0x2463fff6,
+0x431023, 0x2c42000a, 0x14400048, 0x0,
+0x24020007, 0x10000045, 0xaee204b8, 0xc0040ec,
+0x0, 0x1040003f, 0x24020001, 0x8f820214,
+0x3c03ffff, 0x3c040001, 0x8c845168, 0x431024,
+0x3442251f, 0xaf820214, 0x24020008, 0x1080000f,
+0xaee204b8, 0x3c020001, 0x8c4250b4, 0x1440000b,
+0x0, 0x8f820220, 0x34420002, 0xaf820220,
+0x24020001, 0x3c010001, 0xac2271d0, 0xc004a40,
+0x8f840220, 0x10000016, 0x0, 0x8f820220,
+0x3c030008, 0x431024, 0x14400011, 0x3c020200,
+0x282a025, 0x2402000e, 0x3c010001, 0xac2271d0,
+0xc004e60, 0x2021, 0x8f820220, 0x34420002,
+0xc00402d, 0xaf820220, 0x3c050001, 0x8ca55028,
+0xc004d90, 0x2021, 0x10000014, 0x0,
+0x3c020001, 0x8c4250b4, 0x10400010, 0x0,
+0x3c020001, 0x8c4250b0, 0x2442ffff, 0x3c010001,
+0xac2250b0, 0x14400009, 0x24020002, 0x3c010001,
+0xac2050b4, 0x3c010001, 0xac2250b0, 0x10000003,
+0x0, 0x3c010001, 0xac22502c, 0x8fbf0018,
+0x3e00008, 0x27bd0020, 0x8f820200, 0x8f820220,
+0x8f820220, 0x34420004, 0xaf820220, 0x8f820200,
+0x3c040001, 0x8c845028, 0x34420004, 0xaf820200,
+0x24020002, 0x1082003a, 0x2c820003, 0x10400005,
+0x24020001, 0x1082000a, 0x3c03f0ff, 0x3e00008,
+0x0, 0x24020004, 0x1082005a, 0x24020008,
+0x1082006d, 0x3c02f0ff, 0x3e00008, 0x0,
+0x8f820050, 0x3463ffff, 0x3c05ffff, 0x34a53f7f,
+0x431024, 0x3c030700, 0x431025, 0xaf820050,
+0x24020e00, 0xaf840200, 0xaf840220, 0xaf820238,
+0x8f820044, 0x3c030001, 0x8c635018, 0x3c040001,
+0x8c845180, 0x451024, 0x34630022, 0xaf820044,
+0x24020004, 0x1082000c, 0xaf830200, 0x3c020001,
+0x8c42503c, 0x3c030001, 0x8c635020, 0x3c040001,
+0x8c84501c, 0x34428000, 0x621825, 0x641825,
+0x10000032, 0x34620002, 0x3c020001, 0x8c425020,
+0x3c030001, 0x8c63503c, 0x3c040001, 0x8c84501c,
+0x431025, 0x441025, 0x10000028, 0x34420002,
+0x8f830050, 0x3c02f0ff, 0x3442ffff, 0x3c040001,
+0x8c845168, 0x621824, 0x3c020d00, 0x621825,
+0x24020001, 0xaf830050, 0xaf820200, 0xaf820220,
+0x24020e00, 0x10800009, 0xaf820238, 0x3c020001,
+0x8c4250b4, 0x14400005, 0x3c033f00, 0x3c020001,
+0x8c425010, 0x10000005, 0x34630070, 0x3c020001,
+0x8c425010, 0x3c033f00, 0x34630072, 0x431025,
+0xaf820200, 0x3c030001, 0x8c635014, 0x3c04f700,
+0x3c020001, 0x8c425020, 0x3c050001, 0x8ca5503c,
+0x641825, 0x431025, 0x451025, 0x3e00008,
+0xaf820220, 0x8f830050, 0x3c02f0ff, 0x3442ffff,
+0x3c040001, 0x8c845168, 0x621824, 0x3c020a00,
+0x621825, 0x24020001, 0xaf830050, 0xaf820200,
+0x1080001e, 0xaf820220, 0x3c020001, 0x8c4250b4,
+0x1440001a, 0x3c033f00, 0x3c020001, 0x8c425010,
+0x1000001a, 0x346300e0, 0x8f830050, 0x3c040001,
+0x8c845168, 0x3442ffff, 0x621824, 0x1080000f,
+0xaf830050, 0x3c020001, 0x8c4250b4, 0x1440000b,
+0x3c043f00, 0x3c030001, 0x8c635010, 0x348400e0,
+0x24020001, 0xaf820200, 0xaf820220, 0x641825,
+0xaf830200, 0x10000008, 0x3c05f700, 0x3c020001,
+0x8c425010, 0x3c033f00, 0x346300e2, 0x431025,
+0xaf820200, 0x3c05f700, 0x34a58000, 0x3c030001,
+0x8c635014, 0x3c020001, 0x8c425020, 0x3c040001,
+0x8c84503c, 0x651825, 0x431025, 0x1000ffc3,
+0x441025, 0x3c030001, 0x8c635040, 0x3c020001,
+0x8c425044, 0x27bdffe0, 0x10620003, 0xafbf0018,
+0x3c010001, 0xac235044, 0x24020002, 0x1062003a,
+0x2c620003, 0x10400005, 0x24020001, 0x10620008,
+0x24020004, 0x10000052, 0x0, 0x24020009,
+0x1062003c, 0x24020840, 0x1000004d, 0x0,
+0x3c030001, 0x8c635028, 0x10620007, 0x24020008,
+0x1462000e, 0x24020827, 0x3c0200c8, 0x344201fb,
+0x1000001d, 0xaf820238, 0x24020e01, 0xaf820238,
+0x8f820044, 0x3c03ffff, 0x34633f7f, 0x431024,
+0x34420080, 0x10000014, 0xaf820044, 0x3c040001,
+0x24844bd0, 0xafa20010, 0xafa00014, 0x8f860144,
+0x3c070001, 0x24e74be8, 0xc002bdf, 0x3405dead,
+0x8f82011c, 0x34420002, 0xaf82011c, 0x8f820220,
+0x34420004, 0xaf820220, 0x8f820140, 0x3c030001,
+0x431025, 0xaf820140, 0x8f830054, 0x24020002,
+0x3c010001, 0xac225040, 0x3c010001, 0xac235174,
+0x10000033, 0x0, 0x8f830054, 0x3c020001,
+0x8c425174, 0x2463d8f0, 0x431023, 0x2c422710,
+0x1440002b, 0x24020009, 0x3c010001, 0x10000028,
+0xac225040, 0x3c040001, 0x24844bd0, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74be8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x3c040001, 0x24844bd0, 0x24020846, 0xafa20010,
+0xafa00014, 0x8f860144, 0x3c070001, 0x24e74be8,
+0xc002bdf, 0x3405dead, 0x8f82011c, 0x34420002,
+0xaf82011c, 0x8f820220, 0x34420004, 0xaf820220,
+0x8f820140, 0x3c030001, 0x431025, 0xaf820140,
+0x8fbf0018, 0x3e00008, 0x27bd0020, 0x3c030001,
+0x8c635050, 0x3c020001, 0x8c425094, 0x27bdffd8,
+0xafbf0020, 0xafb1001c, 0x10620003, 0xafb00018,
+0x3c010001, 0xac235094, 0x2463ffff, 0x2c620013,
+0x1040034c, 0x31080, 0x3c010001, 0x220821,
+0x8c224c98, 0x400008, 0x0, 0xc004999,
+0x8021, 0x34028000, 0xa7a20010, 0x27b10010,
+0xc004973, 0x24040001, 0x26100001, 0x2e020020,
+0x1440fffb, 0x0, 0xc004973, 0x2021,
+0xc004973, 0x24040001, 0xc004973, 0x2021,
+0xc004973, 0x24040001, 0x24100010, 0x32020001,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020001, 0x24100010,
+0xc004973, 0x2021, 0x108042, 0x1600fffc,
+0x0, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x34108000, 0x96220000, 0x501024,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fff8, 0x0, 0xc004999,
+0x0, 0x10000311, 0x24020002, 0x27b10010,
+0xa7a00010, 0x8021, 0xc004973, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0xc004973, 0x24040001, 0xc004973, 0x2021,
+0x24100010, 0x32020001, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x32020001, 0x24100010, 0xc004973, 0x2021,
+0x108042, 0x1600fffc, 0x0, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x0, 0x97a20010,
+0x30428000, 0x144002df, 0x24020003, 0x100002db,
+0x0, 0x24021200, 0xa7a20010, 0x27b10010,
+0x8021, 0xc004973, 0x24040001, 0x26100001,
+0x2e020020, 0x1440fffb, 0x0, 0xc004973,
+0x2021, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0xc004973, 0x24040001, 0x24100010,
+0x32020001, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fffa, 0x32020001,
+0x24100010, 0xc004973, 0x2021, 0x108042,
+0x1600fffc, 0x0, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0x34108000, 0x96220000,
+0x501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fff8, 0x0,
+0xc004999, 0x0, 0x8f830054, 0x10000298,
+0x24020004, 0x8f830054, 0x3c020001, 0x8c42517c,
+0x2463ff9c, 0x431023, 0x2c420064, 0x144002a1,
+0x24020002, 0x3c030001, 0x8c635180, 0x1062029a,
+0x2c620003, 0x14400299, 0x24020011, 0x24020003,
+0x10620005, 0x24020004, 0x10620005, 0x24020011,
+0x10000292, 0x0, 0x10000290, 0x24020005,
+0x1000028e, 0x2402000f, 0x24020014, 0xa7a20010,
+0x27b10010, 0x8021, 0xc004973, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0x24100010, 0x32020001, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x32020001, 0x24100010, 0x32020012, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020012, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0x34108000, 0x96220000,
+0x501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fff8, 0x0,
+0xc004999, 0x0, 0x8f830054, 0x10000248,
+0x24020006, 0x8f830054, 0x3c020001, 0x8c42517c,
+0x2463ff9c, 0x431023, 0x2c420064, 0x14400251,
+0x24020007, 0x1000024d, 0x0, 0x24020006,
+0xa7a20010, 0x27b10010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020013,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020013, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x10000207, 0x24020008, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400210, 0x24020009, 0x1000020c, 0x0,
+0x27b10010, 0xa7a00010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020018,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020018, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x8021, 0x97a20010,
+0x27b10010, 0x34420001, 0xa7a20010, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020018,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020018, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x10000193, 0x2402000a, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x1440019c, 0x2402000b, 0x10000198, 0x0,
+0x27b10010, 0xa7a00010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020017,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020017, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x8021, 0x97a20010,
+0x27b10010, 0x34420700, 0xa7a20010, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020017,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020017, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x1000011f, 0x2402000c, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400128, 0x24020012, 0x10000124, 0x0,
+0x27b10010, 0xa7a00010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020014,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020014, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x8021, 0x97a20010,
+0x27b10010, 0x34420010, 0xa7a20010, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020014,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020014, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x100000ab, 0x24020013, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x144000b4, 0x2402000d, 0x100000b0, 0x0,
+0x27b10010, 0xa7a00010, 0x8021, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x24040001, 0xc004973,
+0x2021, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020018,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020018, 0xc004999,
+0x34108000, 0xc004999, 0x0, 0xc004953,
+0x0, 0x50400005, 0x108042, 0x96220000,
+0x501025, 0xa6220000, 0x108042, 0x1600fff7,
+0x0, 0xc004999, 0x8021, 0x97a20010,
+0x27b10010, 0x3042fffe, 0xa7a20010, 0xc004973,
+0x24040001, 0x26100001, 0x2e020020, 0x1440fffb,
+0x0, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0xc004973,
+0x24040001, 0x24100010, 0x32020001, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020001, 0x24100010, 0x32020018,
+0x10400002, 0x2021, 0x24040001, 0xc004973,
+0x108042, 0x1600fffa, 0x32020018, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x34108000,
+0x96220000, 0x501024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fff8,
+0x0, 0xc004999, 0x0, 0x8f830054,
+0x10000037, 0x2402000e, 0x24020840, 0xa7a20010,
+0x27b10010, 0x8021, 0xc004973, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0x24100010, 0x32020001, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x32020001, 0x24100010, 0x32020013, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x32020013, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0x34108000, 0x96220000,
+0x501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fff8, 0x0,
+0xc004999, 0x0, 0x8f830054, 0x24020010,
+0x3c010001, 0xac225050, 0x3c010001, 0xac23517c,
+0x1000000c, 0x0, 0x8f830054, 0x3c020001,
+0x8c42517c, 0x2463ff9c, 0x431023, 0x2c420064,
+0x14400004, 0x0, 0x24020011, 0x3c010001,
+0xac225050, 0x8fbf0020, 0x8fb1001c, 0x8fb00018,
+0x3e00008, 0x27bd0028, 0x8f850044, 0x8f820044,
+0x3c030001, 0x431025, 0x3c030008, 0xaf820044,
+0x8f840054, 0x8f820054, 0xa32824, 0x10000002,
+0x24840001, 0x8f820054, 0x821023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820044, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820044, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0xa01021,
+0x3e00008, 0x0, 0x8f830044, 0x3c02fff0,
+0x3442ffff, 0x42480, 0x621824, 0x3c020002,
+0x822025, 0x641825, 0xaf830044, 0x8f820044,
+0x3c030001, 0x431025, 0xaf820044, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x8f820044, 0x3c03fffe, 0x3463ffff, 0x431024,
+0xaf820044, 0x8f830054, 0x8f820054, 0x10000002,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x3e00008, 0x0,
+0x8f820044, 0x3c03fff0, 0x3463ffff, 0x431024,
+0xaf820044, 0x8f820044, 0x3c030001, 0x431025,
+0xaf820044, 0x8f830054, 0x8f820054, 0x10000002,
+0x24630001, 0x8f820054, 0x621023, 0x2c420002,
+0x1440fffc, 0x0, 0x8f820044, 0x3c03fffe,
+0x3463ffff, 0x431024, 0xaf820044, 0x8f830054,
+0x8f820054, 0x10000002, 0x24630001, 0x8f820054,
+0x621023, 0x2c420002, 0x1440fffc, 0x0,
+0x3e00008, 0x0, 0x27bdffd8, 0xafb20018,
+0x809021, 0xafb3001c, 0xa09821, 0xafb10014,
+0xc08821, 0xafb00010, 0x8021, 0xafbf0020,
+0xa6200000, 0xc004973, 0x24040001, 0x26100001,
+0x2e020020, 0x1440fffb, 0x0, 0xc004973,
+0x2021, 0xc004973, 0x24040001, 0xc004973,
+0x24040001, 0xc004973, 0x2021, 0x24100010,
+0x2501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fffa, 0x2501024,
+0x24100010, 0x2701024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x2701024, 0xc004999, 0x34108000, 0xc004999,
+0x0, 0xc004953, 0x0, 0x50400005,
+0x108042, 0x96220000, 0x501025, 0xa6220000,
+0x108042, 0x1600fff7, 0x0, 0xc004999,
+0x0, 0x8fbf0020, 0x8fb3001c, 0x8fb20018,
+0x8fb10014, 0x8fb00010, 0x3e00008, 0x27bd0028,
+0x27bdffd8, 0xafb10014, 0x808821, 0xafb20018,
+0xa09021, 0xafb3001c, 0xc09821, 0xafb00010,
+0x8021, 0xafbf0020, 0xc004973, 0x24040001,
+0x26100001, 0x2e020020, 0x1440fffb, 0x0,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0xc004973, 0x24040001,
+0x24100010, 0x2301024, 0x10400002, 0x2021,
+0x24040001, 0xc004973, 0x108042, 0x1600fffa,
+0x2301024, 0x24100010, 0x2501024, 0x10400002,
+0x2021, 0x24040001, 0xc004973, 0x108042,
+0x1600fffa, 0x2501024, 0xc004973, 0x24040001,
+0xc004973, 0x2021, 0x34108000, 0x96620000,
+0x501024, 0x10400002, 0x2021, 0x24040001,
+0xc004973, 0x108042, 0x1600fff8, 0x0,
+0xc004999, 0x0, 0x8fbf0020, 0x8fb3001c,
+0x8fb20018, 0x8fb10014, 0x8fb00010, 0x3e00008,
+0x27bd0028, 0x0, 0x0, 0x27bdffe8,
+0xafbf0014, 0xafb00010, 0x8ee304b8, 0x24020008,
+0x146201bb, 0x0, 0x3c020001, 0x8c425168,
+0x14400005, 0x0, 0xc003f1c, 0x8f840224,
+0x100001b3, 0x0, 0x8f820220, 0x3c030008,
+0x431024, 0x10400025, 0x24030001, 0x8f840224,
+0x8f820220, 0x3c030400, 0x431024, 0x10400006,
+0x0, 0x3c020001, 0x244271e0, 0xac400000,
+0x1000000a, 0xac400020, 0x3c030001, 0x246371e0,
+0x8c620000, 0x24420001, 0xac620000, 0x2c420002,
+0x14400002, 0x24020001, 0xac620020, 0x3c030001,
+0x24637200, 0x8c620000, 0x10400005, 0x30820040,
+0x10400003, 0x24020001, 0x10000003, 0xac620004,
+0x3c010001, 0xac207204, 0x3c020001, 0x244271dc,
+0xac440000, 0x10000008, 0xac400034, 0x3c020001,
+0x24427210, 0xac430000, 0xac40fff0, 0xac40ffd0,
+0xac40fff4, 0xac40ffcc, 0x3c040001, 0x248471d0,
+0x8c830000, 0x8c820004, 0x54620001, 0xac830004,
+0x2463ffff, 0x2c62000e, 0x10400175, 0x31080,
+0x3c010001, 0x220821, 0x8c224d08, 0x400008,
+0x0, 0x3c020001, 0x24427200, 0x24030002,
+0xac400000, 0xac40ffe0, 0xac40ffdc, 0xac400004,
+0xac40fff8, 0xac40fff0, 0xaf800224, 0xac43ffd0,
+0x3c100001, 0x26107210, 0x8e020000, 0x14400047,
+0x3c02fdff, 0x3442ffff, 0xc003f1c, 0x282a024,
+0xaf800204, 0x8f820200, 0x2403fffd, 0x431024,
+0xaf820200, 0xae000010, 0x8f830054, 0x8e02ffe8,
+0x24040001, 0xae04fffc, 0x441021, 0xae02ffe8,
+0x2c420004, 0x14400005, 0xae03ffe4, 0x3c010001,
+0xac24502c, 0x1000014a, 0xae00ffe8, 0x24020003,
+0x10000147, 0xae02ffc0, 0x3c040001, 0x248471f4,
+0x8f830054, 0x8c820000, 0x2463d8f0, 0x431023,
+0x2c422710, 0x14400002, 0x24020004, 0xac82ffdc,
+0x8c82001c, 0x14400021, 0x3c02fdff, 0x3442ffff,
+0x10000137, 0x282a024, 0x3c040001, 0x8c84516c,
+0x3c100001, 0x261071e8, 0xc004c73, 0xae000000,
+0x8e020034, 0xaf820204, 0x8e020028, 0x14400013,
+0x3c03fdff, 0x8f820204, 0x3463ffff, 0x30420030,
+0x10400004, 0x283a024, 0x24020002, 0x10000124,
+0xae02ffe8, 0x8e030034, 0x24020005, 0xae02ffe8,
+0x1000011f, 0xae030038, 0x3c040001, 0x24847210,
+0x8c820000, 0x10400011, 0x3c02fdff, 0x3c020001,
+0x8c4250ac, 0x24420001, 0x3c010001, 0xac2250ac,
+0x2c420002, 0x14400112, 0x24020001, 0x3c010001,
+0xac2250b4, 0x3c010001, 0xac2050ac, 0x3c010001,
+0xac22502c, 0x1000010a, 0x0, 0x8c83fff0,
+0x3442ffff, 0x10600106, 0x282a024, 0x8c82ffcc,
+0x10400103, 0x0, 0xac82fff8, 0x24020003,
+0xac82ffd0, 0x24020006, 0x100000fd, 0xac82ffc0,
+0x3c040001, 0x248471e8, 0xac800000, 0x8f820204,
+0x34420040, 0xaf820204, 0x8c820038, 0x24030007,
+0xac83ffe8, 0x34420040, 0xac820038, 0x3c030001,
+0x24637200, 0x8c620000, 0x10400004, 0x0,
+0x8c62ffdc, 0x104000e2, 0x24020002, 0x3c050001,
+0x24a571e0, 0x8ca20000, 0x2c424e21, 0x10400088,
+0x24020002, 0x8ca20024, 0x104000e1, 0x2404ffbf,
+0x8ca2fffc, 0x8ca30028, 0x441024, 0x641824,
+0x10430003, 0x24020001, 0x100000d9, 0xaca2fff0,
+0x24020003, 0xaca20000, 0x24020008, 0xaca2fff0,
+0x3c100001, 0x2610720c, 0x8e020000, 0x1040000a,
+0x24020001, 0x8e04ffd0, 0xc004c05, 0x0,
+0x8e02001c, 0x14400004, 0x24020001, 0x8e020018,
+0x10400005, 0x24020001, 0x3c010001, 0xac22502c,
+0x100000c3, 0xae00ffec, 0x8e02ffe4, 0x8e03ffd0,
+0x2c420001, 0x210c0, 0x30630008, 0xae02ffe4,
+0xae03ffe0, 0x8f830054, 0x24020009, 0xae02ffc4,
+0x100000b7, 0xae03ffe8, 0x3c040001, 0x248471f4,
+0x8f830054, 0x8c820000, 0x2463d8f0, 0x431023,
+0x2c422710, 0x1440002c, 0x0, 0x8c82000c,
+0x10400004, 0x0, 0x8c82ffe8, 0x1040008e,
+0x24020002, 0x3c030001, 0x246371e0, 0x8c620000,
+0x2c424e21, 0x10400090, 0x24020002, 0x8c62002c,
+0x1040000e, 0x0, 0x8c62fffc, 0x30420080,
+0x10400005, 0xac60002c, 0x8f820204, 0x30420080,
+0x1440000e, 0x24020003, 0x2402000c, 0x3c010001,
+0xac2271d0, 0x10000092, 0x0, 0x8c62fffc,
+0x30420080, 0x14400005, 0x24020003, 0x8f820204,
+0x30420080, 0x10400005, 0x24020003, 0xac620000,
+0x2402000a, 0x1000000c, 0xac62fff0, 0x2402000c,
+0x10000083, 0xac62fff0, 0x8c82000c, 0x10400080,
+0x0, 0x8c82ffe8, 0x1440007d, 0x24020002,
+0x1000007b, 0xac82ffdc, 0x3c040001, 0x24847218,
+0x8c820000, 0x8c83ffd8, 0x431025, 0xaf820204,
+0x8c830000, 0x8c85ffd8, 0x2402000b, 0xac82ffb8,
+0x651825, 0xac830008, 0x3c050001, 0x24a571e0,
+0x8ca20000, 0x2c424e21, 0x1040000d, 0x24020002,
+0x8ca20030, 0x10400003, 0x2402000c, 0x10000064,
+0xaca2fff0, 0x8ca20020, 0x10400061, 0x0,
+0x8ca4fffc, 0x14800004, 0x30820008, 0x24020002,
+0x1000005b, 0xaca2fff0, 0x8ca3000c, 0x10620058,
+0x24020003, 0xaca20000, 0x24020006, 0xaca40028,
+0x10000053, 0xaca2fff0, 0x8f820200, 0x34420002,
+0xaf820200, 0x8f840054, 0x3c030001, 0x246371f4,
+0x2402000d, 0xac62ffdc, 0xac640000, 0x3c040001,
+0x248471f4, 0x8f830054, 0x8c820000, 0x2463d8f0,
+0x431023, 0x2c422710, 0x14400031, 0x0,
+0x8c82001c, 0x1040001e, 0x2402000e, 0x8c830030,
+0x14600015, 0xac82ffdc, 0xc00402d, 0x0,
+0x3c050001, 0x8ca55028, 0xc004d90, 0x2021,
+0x3c030001, 0x8c635028, 0x24020004, 0x14620005,
+0x2403fffb, 0x3c020001, 0x8c425024, 0x10000003,
+0x2403fff7, 0x3c020001, 0x8c425024, 0x431024,
+0x3c010001, 0xac225024, 0x8f830224, 0x3c020200,
+0x3c010001, 0xac23722c, 0x10000021, 0x282a025,
+0x8c82000c, 0x10400006, 0x0, 0x8c82ffe8,
+0x14400003, 0x24020002, 0x10000019, 0xac82ffdc,
+0x3c030001, 0x246371e0, 0x8c620000, 0x2c424e21,
+0x14400003, 0x24020002, 0x10000011, 0xac62fff0,
+0x3c030001, 0x24637200, 0x8c620000, 0x1040000c,
+0x0, 0x8c62ffdc, 0x14400009, 0x24020002,
+0x10000007, 0xac62ffd0, 0x3c020001, 0x8c427200,
+0x10400003, 0x0, 0xc003f1c, 0x0,
+0x8f820220, 0x3c03f700, 0x431025, 0xaf820220,
+0x8fbf0014, 0x8fb00010, 0x3e00008, 0x27bd0018,
+0x27bdffe0, 0x3c030001, 0x24637224, 0x30820030,
+0xafbf0018, 0x14400065, 0xac620000, 0x3c02ffff,
+0x34421f0e, 0x821024, 0x1440005f, 0x24020030,
+0x30822000, 0x1040005b, 0x30820001, 0x30838000,
+0x31a02, 0x21200, 0x3c040001, 0x8c84516c,
+0x621825, 0x331c2, 0x30828000, 0x21202,
+0x30840001, 0x42200, 0x441025, 0x239c2,
+0x61080, 0xe21021, 0x3c040001, 0x822021,
+0x908450b8, 0x24020001, 0x10820025, 0x0,
+0x10800007, 0x24020002, 0x10820013, 0x24020003,
+0x1082002c, 0x3c05000f, 0x10000037, 0x34a5ffff,
+0x8f820200, 0x2403feff, 0x431024, 0xaf820200,
+0x8f820220, 0x3c03fffe, 0x3463ffff, 0x431024,
+0xaf820220, 0x3c020001, 0x24427244, 0xac400000,
+0x10000032, 0xac400008, 0x8f820200, 0x34420100,
+0xaf820200, 0x8f820220, 0x3c03fffe, 0x3463ffff,
+0x431024, 0x3c030001, 0x24637244, 0xaf820220,
+0x24020100, 0xac620000, 0x10000024, 0xac600008,
+0x8f820200, 0x2403feff, 0x431024, 0xaf820200,
+0x8f820220, 0x3c030001, 0x431025, 0xaf820220,
+0x3c020001, 0x24427244, 0xac400000, 0x10000017,
+0xac430008, 0x8f820200, 0x34420100, 0xaf820200,
+0x8f820220, 0x3c040001, 0x3c030001, 0x24637244,
+0x441025, 0xaf820220, 0x24020100, 0xac620000,
+0x1000000a, 0xac640008, 0xafa40010, 0x3c040001,
+0x24844d40, 0xc002bdf, 0xafa00014, 0x10000003,
+0x0, 0x24020030, 0xac620004, 0x8fbf0018,
+0x3e00008, 0x27bd0020, 0x3c030001, 0x24637228,
+0x8c620000, 0x10400004, 0x34422000, 0xac62fff4,
+0x3e00008, 0xac600000, 0x3e00008, 0xac64fff4,
+0x0, 0x0, 0x0, 0x27bdffc8,
+0xafb20028, 0x809021, 0xafb3002c, 0xa09821,
+0xafb00020, 0xc08021, 0x3c040001, 0x24844d68,
+0x3c050009, 0x3c020001, 0x8c425028, 0x34a59001,
+0x2403021, 0x2603821, 0xafbf0030, 0xafb10024,
+0xa7a0001a, 0xafb00014, 0xc002bdf, 0xafa20010,
+0x24020002, 0x126200f2, 0x2e620003, 0x10400005,
+0x24020001, 0x1262000a, 0x3c02fffb, 0x100000ec,
+0x0, 0x24020004, 0x12620070, 0x24020008,
+0x1262006f, 0x3c02ffec, 0x100000e5, 0x0,
+0x3442ffff, 0x2028024, 0x128940, 0x3c010001,
+0x310821, 0xac30723c, 0x3c024000, 0x2021024,
+0x10400048, 0x1023c2, 0x30840030, 0x101382,
+0x3042000c, 0x3c030001, 0x24635054, 0x431021,
+0x823821, 0x3c020020, 0x2021024, 0x10400006,
+0x24020100, 0x3c010001, 0x310821, 0xac227240,
+0x10000005, 0x3c020080, 0x3c010001, 0x310821,
+0xac207240, 0x3c020080, 0x2021024, 0x10400007,
+0x121940, 0x3c020001, 0x3c010001, 0x230821,
+0xac227248, 0x10000005, 0x0, 0x121140,
+0x3c010001, 0x220821, 0xac207248, 0x94e30000,
+0x32024000, 0x10400003, 0xa7a30018, 0x34624000,
+0xa7a20018, 0x24040001, 0x94e20002, 0x24050004,
+0x24e60002, 0x441025, 0xc0049fd, 0xa4e20002,
+0x24040001, 0x2821, 0xc0049fd, 0x27a60018,
+0x3c020001, 0x8c425028, 0x24110001, 0x3c010001,
+0xac315034, 0x14530004, 0x32028000, 0xc003f1c,
+0x0, 0x32028000, 0x1040009d, 0x0,
+0xc003f1c, 0x0, 0x24020002, 0x3c010001,
+0xac31502c, 0x3c010001, 0xac225028, 0x10000094,
+0x0, 0x24040001, 0x24050004, 0x27b0001a,
+0xc0049fd, 0x2003021, 0x24040001, 0x2821,
+0xc0049fd, 0x2003021, 0x3c020001, 0x511021,
+0x8c427234, 0x3c040001, 0x8c845028, 0x3c03bfff,
+0x3463ffff, 0x3c010001, 0xac335034, 0x431024,
+0x3c010001, 0x310821, 0xac227234, 0x1093007a,
+0x0, 0x1000007a, 0x0, 0x3c02ffec,
+0x3442ffff, 0x2028024, 0x3c020008, 0x2028025,
+0x121140, 0x3c010001, 0x220821, 0xac307238,
+0x3c022000, 0x2021024, 0x10400009, 0x0,
+0x3c020001, 0x8c4250b4, 0x14400005, 0x24020001,
+0x3c010001, 0xac225168, 0x10000004, 0x3c024000,
+0x3c010001, 0xac205168, 0x3c024000, 0x2021024,
+0x1440001a, 0x0, 0x3c020001, 0x8c425168,
+0x10400005, 0x24022020, 0x3c010001, 0xac22516c,
+0x24020001, 0xaee204b8, 0x3c04bfff, 0x121940,
+0x3c020001, 0x431021, 0x8c427230, 0x3c050001,
+0x8ca55028, 0x3484ffff, 0x441024, 0x3c010001,
+0x230821, 0xac227230, 0x24020001, 0x10a20048,
+0x0, 0x10000044, 0x0, 0x3c020001,
+0x8c425168, 0x1040001f, 0x24022000, 0x3c010001,
+0xac22516c, 0x3c0300a0, 0x2031024, 0x14430006,
+0x121140, 0x3402a000, 0x3c010001, 0xac22516c,
+0x10000030, 0x0, 0x3c030001, 0x621821,
+0x8c637238, 0x3c020020, 0x621024, 0x10400005,
+0x24022001, 0x3c010001, 0xac22516c, 0x10000025,
+0x0, 0x3c020080, 0x621024, 0x10400021,
+0x3402a001, 0x3c010001, 0xac22516c, 0x1000001d,
+0x0, 0x3c020020, 0x2021024, 0x10400007,
+0x121940, 0x24020100, 0x3c010001, 0x230821,
+0xac227244, 0x10000006, 0x3c020080, 0x121140,
+0x3c010001, 0x220821, 0xac207244, 0x3c020080,
+0x2021024, 0x10400007, 0x121940, 0x3c020001,
+0x3c010001, 0x230821, 0xac22724c, 0x10000005,
+0x0, 0x121140, 0x3c010001, 0x220821,
+0xac20724c, 0x3c030001, 0x8c635028, 0x24020001,
+0x10620003, 0x0, 0xc003f1c, 0x0,
+0x8fbf0030, 0x8fb3002c, 0x8fb20028, 0x8fb10024,
+0x8fb00020, 0x3e00008, 0x27bd0038, 0x27bdffc8,
+0xafbe002c, 0x80f021, 0xafb30024, 0x9821,
+0xafb50028, 0x260a821, 0xafb1001c, 0x2608821,
+0x24020002, 0xafbf0030, 0xafb20020, 0xafb00018,
+0xa7a00012, 0x10a2006b, 0xa7a00010, 0x2ca20003,
+0x10400005, 0x24020001, 0x10a2000a, 0x2201021,
+0x100000b1, 0x0, 0x24020004, 0x10a20061,
+0x24020008, 0x10a2005f, 0x2201021, 0x100000aa,
+0x0, 0x1e9140, 0x3c030001, 0x721821,
+0x8c63723c, 0x3c024000, 0x621024, 0x14400009,
+0x24040001, 0x3c027fff, 0x3442ffff, 0x628824,
+0x3c010001, 0x320821, 0xac317234, 0x1000009a,
+0x2201021, 0x802821, 0x27b00010, 0xc0049bb,
+0x2003021, 0x24040001, 0x802821, 0xc0049bb,
+0x2003021, 0x97a20010, 0x30420004, 0x10400035,
+0x3c114000, 0x3c030001, 0x8c635180, 0x24020003,
+0x10620008, 0x2c620004, 0x1440002a, 0x3c028000,
+0x24020004, 0x10620014, 0x3c028000, 0x10000026,
+0x2221025, 0x24040001, 0x24050011, 0x27b00012,
+0xc0049bb, 0x2003021, 0x24040001, 0x24050011,
+0xc0049bb, 0x2003021, 0x97a30012, 0x30624000,
+0x10400002, 0x3c150010, 0x3c150008, 0x3c130001,
+0x10000011, 0x30628000, 0x24040001, 0x24050014,
+0x27b00012, 0xc0049bb, 0x2003021, 0x24040001,
+0x24050014, 0xc0049bb, 0x2003021, 0x97a30012,
+0x30621000, 0x10400002, 0x3c150010, 0x3c150008,
+0x3c130001, 0x30620800, 0x54400001, 0x3c130002,
+0x3c028000, 0x2221025, 0x2751825, 0x10000007,
+0x438825, 0x3c110001, 0x2328821, 0x8e31723c,
+0x3c027fff, 0x3442ffff, 0x2228824, 0x1e1140,
+0x3c010001, 0x220821, 0xac317234, 0x1000004e,
+0x2201021, 0x1e2940, 0x3c030001, 0x651821,
+0x8c637238, 0x3c024000, 0x621024, 0x14400008,
+0x3c027fff, 0x3442ffff, 0x628824, 0x3c010001,
+0x250821, 0xac317230, 0x1000003f, 0x2201021,
+0x3c020001, 0x8c425038, 0x10400033, 0x3c11c00c,
+0x3c020001, 0x8c4250b4, 0x2202021, 0x34842000,
+0x3c030001, 0x8c635168, 0x2102b, 0x21023,
+0x441024, 0x10600003, 0x518825, 0x3c022000,
+0x2228825, 0x3c020001, 0x451021, 0x8c427244,
+0x10400003, 0x3c020020, 0x10000004, 0x2228825,
+0x3c02ffdf, 0x3442ffff, 0x2228824, 0x1e1140,
+0x3c010001, 0x220821, 0x8c22724c, 0x10400003,
+0x3c020080, 0x10000004, 0x2228825, 0x3c02ff7f,
+0x3442ffff, 0x2228824, 0x3c020001, 0x8c4250a0,
+0x10400002, 0x3c020800, 0x2228825, 0x3c020001,
+0x8c4250a4, 0x10400002, 0x3c020400, 0x2228825,
+0x3c020001, 0x8c4250a8, 0x10400006, 0x3c020100,
+0x10000004, 0x2228825, 0x3c027fff, 0x3442ffff,
+0x628824, 0x1e1140, 0x3c010001, 0x220821,
+0xac317230, 0x2201021, 0x8fbf0030, 0x8fbe002c,
+0x8fb50028, 0x8fb30024, 0x8fb20020, 0x8fb1001c,
+0x8fb00018, 0x3e00008, 0x27bd0038, 0x27bdffe0,
+0xafb20018, 0x809021, 0xafbf001c, 0xafb10014,
+0xafb00010, 0x8f840200, 0x3c030001, 0x8c635028,
+0x8f860220, 0x24020002, 0x106200a8, 0x2c620003,
+0x10400005, 0x24020001, 0x1062000a, 0x121940,
+0x100000a2, 0x0, 0x24020004, 0x10620054,
+0x24020008, 0x10620053, 0x128940, 0x1000009b,
+0x0, 0x3c050001, 0xa32821, 0x8ca5723c,
+0x3c100001, 0x2038021, 0x8e107234, 0x3c024000,
+0xa21024, 0x10400038, 0x3c020008, 0x2021024,
+0x10400020, 0x34840002, 0x3c020001, 0x431021,
+0x8c427240, 0x10400005, 0x34840020, 0x34840100,
+0x3c020020, 0x10000006, 0x2028025, 0x2402feff,
+0x822024, 0x3c02ffdf, 0x3442ffff, 0x2028024,
+0x121140, 0x3c010001, 0x220821, 0x8c227248,
+0x10400005, 0x3c020001, 0xc23025, 0x3c020080,
+0x10000016, 0x2028025, 0x3c02fffe, 0x3442ffff,
+0xc23024, 0x3c02ff7f, 0x3442ffff, 0x1000000f,
+0x2028024, 0x2402fedf, 0x822024, 0x3c02fffe,
+0x3442ffff, 0xc23024, 0x3c02ff5f, 0x3442ffff,
+0x2028024, 0x3c010001, 0x230821, 0xac207240,
+0x3c010001, 0x230821, 0xac207248, 0xaf840200,
+0xaf860220, 0x8f820220, 0x34420002, 0xaf820220,
+0x1000000a, 0x121140, 0x3c02bfff, 0x3442ffff,
+0x8f830200, 0x2028024, 0x2402fffd, 0x621824,
+0xc003f1c, 0xaf830200, 0x121140, 0x3c010001,
+0x220821, 0xac307234, 0x1000004c, 0x0,
+0x128940, 0x3c050001, 0xb12821, 0x8ca57238,
+0x3c100001, 0x2118021, 0x8e107230, 0x3c024000,
+0xa21024, 0x14400011, 0x0, 0x3c020001,
+0x8c425168, 0x14400005, 0x3c02bfff, 0x8f820200,
+0x34420002, 0xaf820200, 0x3c02bfff, 0x3442ffff,
+0xc003f1c, 0x2028024, 0x3c010001, 0x310821,
+0xac307230, 0x10000031, 0x0, 0x3c020001,
+0x8c425168, 0x10400005, 0x3c020020, 0x3c020001,
+0x8c4250b4, 0x10400025, 0x3c020020, 0xa21024,
+0x10400007, 0x34840020, 0x24020100, 0x3c010001,
+0x310821, 0xac227244, 0x10000006, 0x822025,
+0x3c010001, 0x310821, 0xac207244, 0x2402feff,
+0x822024, 0x3c020080, 0xa21024, 0x10400007,
+0x121940, 0x3c020001, 0x3c010001, 0x230821,
+0xac22724c, 0x10000008, 0xc23025, 0x121140,
+0x3c010001, 0x220821, 0xac20724c, 0x3c02fffe,
+0x3442ffff, 0xc23024, 0xaf840200, 0xaf860220,
+0x8f820220, 0x34420002, 0xaf820220, 0x121140,
+0x3c010001, 0x220821, 0xac307230, 0x8fbf001c,
+0x8fb20018, 0x8fb10014, 0x8fb00010, 0x3e00008,
+0x27bd0020, 0x0, 0x0, 0x1821,
+0x308400ff, 0x2405ffdf, 0x2406ffbf, 0x641007,
+0x30420001, 0x10400004, 0x0, 0x8f820044,
+0x10000003, 0x34420040, 0x8f820044, 0x461024,
+0xaf820044, 0x8f820044, 0x34420020, 0xaf820044,
+0x8f820044, 0x451024, 0xaf820044, 0x24630001,
+0x28620008, 0x1440ffee, 0x641007, 0x3e00008,
+0x0, 0x0, 0x0, 0x0 };
+static u_int32_t tigon2FwRodata[] = {
+0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6677, 0x6d61696e,
+0x2e632c76, 0x20312e31, 0x2e322e34, 0x35203139,
+0x39392f30, 0x312f3234, 0x2030303a, 0x31303a35,
+0x35207368, 0x75616e67, 0x20457870, 0x20240000,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x6261644d, 0x656d537a, 0x0, 0x2a50414e,
+0x49432a00, 0x66776d61, 0x696e2e63, 0x0,
+0x68774677, 0x56657200, 0x62616448, 0x77566572,
+0x0, 0x2a2a4441, 0x574e5f41, 0x0,
+0x74785278, 0x4266537a, 0x0, 0x62664174,
+0x6e4d726b, 0x0, 0x7265645a, 0x6f6e6531,
+0x0, 0x70636943, 0x6f6e6600, 0x67656e43,
+0x6f6e6600, 0x72636246, 0x6c616773, 0x0,
+0x62616452, 0x78526362, 0x0, 0x676c6f62,
+0x466c6773, 0x0, 0x2b5f6469, 0x73705f6c,
+0x6f6f7000, 0x2b65765f, 0x68616e64, 0x6c657200,
+0x63616e74, 0x31446d61, 0x0, 0x2b715f64,
+0x6d615f74, 0x6f5f6e69, 0x635f636b, 0x73756d00,
+0x2b685f73, 0x656e645f, 0x64617461, 0x5f726561,
+0x64795f63, 0x6b73756d, 0x0, 0x2b685f64,
+0x6d615f72, 0x645f6173, 0x73697374, 0x5f636b73,
+0x756d0000, 0x74436b73, 0x6d4f6e00, 0x2b715f64,
+0x6d615f74, 0x6f5f6e69, 0x63000000, 0x2b685f73,
+0x656e645f, 0x64617461, 0x5f726561, 0x64790000,
+0x2b685f64, 0x6d615f72, 0x645f6173, 0x73697374,
+0x0, 0x74436b73, 0x6d4f6666, 0x0,
+0x2b685f73, 0x656e645f, 0x62645f72, 0x65616479,
+0x0, 0x68737453, 0x52696e67, 0x0,
+0x62616453, 0x52696e67, 0x0, 0x6e696353,
+0x52696e67, 0x0, 0x77446d61, 0x416c6c41,
+0x0, 0x2b715f64, 0x6d615f74, 0x6f5f686f,
+0x73745f63, 0x6b73756d, 0x0, 0x2b685f6d,
+0x61635f72, 0x785f636f, 0x6d705f63, 0x6b73756d,
+0x0, 0x2b685f64, 0x6d615f77, 0x725f6173,
+0x73697374, 0x5f636b73, 0x756d0000, 0x72436b73,
+0x6d4f6e00, 0x2b715f64, 0x6d615f74, 0x6f5f686f,
+0x73740000, 0x2b685f6d, 0x61635f72, 0x785f636f,
+0x6d700000, 0x2b685f64, 0x6d615f77, 0x725f6173,
+0x73697374, 0x0, 0x72436b73, 0x6d4f6666,
+0x0, 0x2b685f72, 0x6563765f, 0x62645f72,
+0x65616479, 0x0, 0x2b685f72, 0x6563765f,
+0x6a756d62, 0x6f5f6264, 0x5f726561, 0x64790000,
+0x2b685f72, 0x6563765f, 0x6d696e69, 0x5f62645f,
+0x72656164, 0x79000000, 0x2b6d685f, 0x636f6d6d,
+0x616e6400, 0x2b685f74, 0x696d6572, 0x0,
+0x2b685f64, 0x6f5f7570, 0x64617465, 0x5f74785f,
+0x636f6e73, 0x0, 0x2b685f64, 0x6f5f7570,
+0x64617465, 0x5f72785f, 0x70726f64, 0x0,
+0x2b636b73, 0x756d3136, 0x0, 0x2b706565,
+0x6b5f6d61, 0x635f7278, 0x0, 0x2b646571,
+0x5f6d6163, 0x5f727800, 0x2b685f6d, 0x61635f72,
+0x785f6174, 0x746e0000, 0x62616452, 0x6574537a,
+0x0, 0x72784264, 0x4266537a, 0x0,
+0x2b6e756c, 0x6c5f6861, 0x6e646c65, 0x72000000,
+0x66774f70, 0x4661696c, 0x0, 0x2b685f75,
+0x70646174, 0x655f6c65, 0x64360000, 0x2b685f75,
+0x70646174, 0x655f6c65, 0x64320000, 0x696e7453,
+0x74617465, 0x0, 0x2a2a696e, 0x69744370,
+0x0, 0x23736372, 0x65616d00, 0x69537461,
+0x636b4572, 0x0, 0x70726f62, 0x654d656d,
+0x0, 0x2a2a4441, 0x574e5f42, 0x0,
+0x2b73775f, 0x646d615f, 0x61737369, 0x73745f70,
+0x6c75735f, 0x74696d65, 0x72000000, 0x2b267072,
+0x656c6f61, 0x645f7772, 0x5f646573, 0x63720000,
+0x2b267072, 0x656c6f61, 0x645f7264, 0x5f646573,
+0x63720000, 0x2b685f68, 0x665f7469, 0x6d657200,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f7469, 0x6d65722e,
+0x632c7620, 0x312e312e, 0x322e3335, 0x20313939,
+0x392f3031, 0x2f323720, 0x31393a30, 0x393a3530,
+0x20686179, 0x65732045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x2a50414e, 0x49432a00, 0x6d61632e, 0x68000000,
+0x74696d65, 0x722e6300, 0x542d446d, 0x61526432,
+0x0, 0x542d446d, 0x61526431, 0x0,
+0x542d446d, 0x61526442, 0x0, 0x542d446d,
+0x61577232, 0x0, 0x542d446d, 0x61577231,
+0x0, 0x542d446d, 0x61577242, 0x0,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f636f, 0x6d6d616e,
+0x642e632c, 0x7620312e, 0x312e322e, 0x32382031,
+0x3939392f, 0x30312f32, 0x30203139, 0x3a34393a,
+0x34392073, 0x6875616e, 0x67204578, 0x70202400,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x3f48636d, 0x644d6278, 0x0, 0x3f636d64,
+0x48737453, 0x0, 0x3f636d64, 0x4d634d64,
+0x0, 0x3f636d64, 0x50726f6d, 0x0,
+0x3f636d64, 0x4c696e6b, 0x0, 0x3f636d64,
+0x45727200, 0x852c, 0x8ca8, 0x8ca8,
+0x8c30, 0x89e0, 0x8c7c, 0x8ca8,
+0x8610, 0x8678, 0x87fc, 0x88cc,
+0x889c, 0x8ca8, 0x86e0, 0x898c,
+0x8ca8, 0x899c, 0x8634, 0x869c,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6d63, 0x6173742e,
+0x632c7620, 0x312e312e, 0x322e3820, 0x31393938,
+0x2f31322f, 0x30382030, 0x323a3336, 0x3a333620,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x6164644d, 0x63447570, 0x0, 0x6164644d,
+0x6346756c, 0x0, 0x64656c4d, 0x634e6f45,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f646d, 0x612e632c,
+0x7620312e, 0x312e322e, 0x32342031, 0x3939382f,
+0x31322f32, 0x31203030, 0x3a33333a, 0x30392073,
+0x6875616e, 0x67204578, 0x70202400, 0x65767452,
+0x6e674600, 0x51657674, 0x46000000, 0x51657674,
+0x505f4600, 0x4d657674, 0x526e6746, 0x0,
+0x4d516576, 0x74460000, 0x4d516576, 0x505f4600,
+0x5173436f, 0x6e495f46, 0x0, 0x5173436f,
+0x6e734600, 0x51725072, 0x6f644600, 0x7377446d,
+0x614f6666, 0x0, 0x31446d61, 0x4f6e0000,
+0x7377446d, 0x614f6e00, 0x2a50414e, 0x49432a00,
+0x646d612e, 0x63000000, 0x2372446d, 0x6141544e,
+0x0, 0x72446d61, 0x41544e30, 0x0,
+0x72446d61, 0x41544e31, 0x0, 0x72446d61,
+0x34476200, 0x2377446d, 0x6141544e, 0x0,
+0x77446d61, 0x41544e30, 0x0, 0x77446d61,
+0x41544e31, 0x0, 0x77446d61, 0x34476200,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f7472, 0x6163652e,
+0x632c7620, 0x312e312e, 0x322e3520, 0x31393938,
+0x2f30392f, 0x33302031, 0x383a3530, 0x3a323820,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6461, 0x74612e63,
+0x2c762031, 0x2e312e32, 0x2e313220, 0x31393939,
+0x2f30312f, 0x32302031, 0x393a3439, 0x3a353120,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x46575f56, 0x45525349, 0x4f4e3a20, 0x58585800,
+0x46575f43, 0x4f4d5049, 0x4c455f54, 0x494d453a,
+0x20585858, 0x0, 0x46575f43, 0x4f4d5049,
+0x4c455f42, 0x593a2058, 0x58580000, 0x46575f43,
+0x4f4d5049, 0x4c455f48, 0x4f53543a, 0x20585858,
+0x0, 0x46575f43, 0x4f4d5049, 0x4c455f44,
+0x4f4d4149, 0x4e3a2058, 0x58580000, 0x46575f43,
+0x4f4d5049, 0x4c45523a, 0x20585858, 0x0,
+0x0, 0x12030303, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6d65, 0x6d2e632c,
+0x7620312e, 0x312e322e, 0x35203139, 0x39382f30,
+0x392f3330, 0x2031383a, 0x35303a30, 0x38207368,
+0x75616e67, 0x20457870, 0x20240000, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f7365, 0x6e642e63,
+0x2c762031, 0x2e312e32, 0x2e343420, 0x31393938,
+0x2f31322f, 0x32312030, 0x303a3333, 0x3a313820,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x2a50414e, 0x49432a00, 0x6d61632e, 0x68000000,
+0x73656e64, 0x2e630000, 0x69736e74, 0x54637055,
+0x0, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f7265, 0x63762e63,
+0x2c762031, 0x2e312e32, 0x2e353320, 0x31393939,
+0x2f30312f, 0x31362030, 0x323a3535, 0x3a343320,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x2a50414e, 0x49432a00, 0x6d61632e, 0x68000000,
+0x72784672, 0x6d324c67, 0x0, 0x72784e6f,
+0x53744264, 0x0, 0x72784e6f, 0x4d694264,
+0x0, 0x72784e6f, 0x4a6d4264, 0x0,
+0x72656376, 0x2e630000, 0x7278436b, 0x446d6146,
+0x0, 0x72785144, 0x6d457846, 0x0,
+0x72785144, 0x6d614600, 0x72785144, 0x4c426446,
+0x0, 0x72785144, 0x6d426446, 0x0,
+0x72784372, 0x63506164, 0x0, 0x72536d51,
+0x446d6146, 0x0, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f6d61, 0x632e632c,
+0x7620312e, 0x312e322e, 0x32322031, 0x3939382f,
+0x31322f30, 0x38203032, 0x3a33363a, 0x33302073,
+0x6875616e, 0x67204578, 0x70202400, 0x65767452,
+0x6e674600, 0x51657674, 0x46000000, 0x51657674,
+0x505f4600, 0x4d657674, 0x526e6746, 0x0,
+0x4d516576, 0x74460000, 0x4d516576, 0x505f4600,
+0x5173436f, 0x6e495f46, 0x0, 0x5173436f,
+0x6e734600, 0x51725072, 0x6f644600, 0x2a50414e,
+0x49432a00, 0x6d61632e, 0x68000000, 0x6d616354,
+0x68726573, 0x0, 0x23744d61, 0x6341544e,
+0x0, 0x23724d61, 0x6341544e, 0x0,
+0x72656d41, 0x73737274, 0x0, 0x6d61632e,
+0x63000000, 0x6c696e6b, 0x444f574e, 0x0,
+0x6c696e6b, 0x55500000, 0x0, 0x24486561,
+0x6465723a, 0x202f7072, 0x6f6a6563, 0x74732f72,
+0x63732f73, 0x772f6765, 0x2f2e2f6e, 0x69632f66,
+0x77322f63, 0x6f6d6d6f, 0x6e2f636b, 0x73756d2e,
+0x632c7620, 0x312e312e, 0x322e3920, 0x31393939,
+0x2f30312f, 0x31342030, 0x303a3033, 0x3a343820,
+0x73687561, 0x6e672045, 0x78702024, 0x0,
+0x65767452, 0x6e674600, 0x51657674, 0x46000000,
+0x51657674, 0x505f4600, 0x4d657674, 0x526e6746,
+0x0, 0x4d516576, 0x74460000, 0x4d516576,
+0x505f4600, 0x5173436f, 0x6e495f46, 0x0,
+0x5173436f, 0x6e734600, 0x51725072, 0x6f644600,
+0x2a50414e, 0x49432a00, 0x6d61632e, 0x68000000,
+0x0, 0x0, 0x0, 0x2a50414e,
+0x49432a00, 0x2e2e2f63, 0x6f6d6d6f, 0x6e2f6d61,
+0x632e6800, 0x2e2e2f2e, 0x2e2f2e2e, 0x2f636f6d,
+0x6d6f6e2f, 0x6c696e6b, 0x2e630000, 0x50726f62,
+0x65506879, 0x0, 0x6c6e6b41, 0x53535254,
+0x0, 0x10e48, 0x10e78, 0x10e90,
+0x10ebc, 0x10f34, 0x10f48, 0x10f84,
+0x11330, 0x11104, 0x11140, 0x11034,
+0x11194, 0x111bc, 0x111f4, 0x11078,
+0x11330, 0x11104, 0x11140, 0x11164,
+0x11194, 0x111bc, 0x111f4, 0x11220,
+0x0, 0x0, 0x0, 0x2a50414e,
+0x49432a00, 0x2e2e2f63, 0x6f6d6d6f, 0x6e2f6d61,
+0x632e6800, 0x11820, 0x118f0, 0x119c8,
+0x11a98, 0x11afc, 0x11bd8, 0x11c00,
+0x11cdc, 0x11d04, 0x11eac, 0x11ed4,
+0x1207c, 0x12274, 0x1250c, 0x1241c,
+0x1250c, 0x12538, 0x120a4, 0x1224c,
+0x0, 0x0, 0x0, 0x2a50414e,
+0x49432a00, 0x2e2e2f63, 0x6f6d6d6f, 0x6e2f6d61,
+0x632e6800, 0x12a38, 0x12a64, 0x12adc,
+0x12b1c, 0x12b7c, 0x12c04, 0x12c30,
+0x12ca4, 0x12d1c, 0x12e0c, 0x12e3c,
+0x12eac, 0x12ed0, 0x12fdc, 0x646f4261,
+0x73655067, 0x0, 0x0, 0x2a50414e,
+0x49432a00, 0x2e2e2f63, 0x6f6d6d6f, 0x6e2f6d61,
+0x632e6800, 0x73746d61, 0x634c4e4b, 0x0,
+0x0, 0x0, 0x0, 0x0 };
+static u_int32_t tigon2FwData[] = {
+0x1,
+0x1, 0x1, 0xc001fc, 0x3ffc,
+0xc00000, 0x416c7465, 0x6f6e2041, 0x63654e49,
+0x43205600, 0x0, 0x0, 0x416c7465,
+0x6f6e2041, 0x63654e49, 0x43205600, 0x42424242,
+0x0, 0x0, 0x0, 0x1ffffc,
+0x1fff7c, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x60cf00,
+0x60, 0xcf000000, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x3, 0x0,
+0x1, 0x0, 0x0, 0x1,
+0x0, 0x0, 0x0, 0x1,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x1000000, 0x21000000, 0x12000140,
+0x0, 0x0, 0x20000000, 0x120000a0,
+0x0, 0x12000060, 0x12000180, 0x120001e0,
+0x0, 0x0, 0x0, 0x0,
+0x0, 0x0, 0x0, 0x2,
+0x0, 0x0, 0x30001, 0x1,
+0x30201, 0x0, 0x0, 0x0 };
diff --git a/usr.sbin/sade/devices.c b/usr.sbin/sade/devices.c
index 0269d4e..bf4af72 100644
--- a/usr.sbin/sade/devices.c
+++ b/usr.sbin/sade/devices.c
@@ -4,7 +4,7 @@
* This is probably the last program in the `sysinstall' line - the next
* generation being essentially a complete rewrite.
*
- * $Id: devices.c,v 1.88 1999/01/09 18:12:06 wpaul Exp $
+ * $Id: devices.c,v 1.89 1999/04/06 08:25:52 jkh Exp $
*
* Copyright (c) 1995
* Jordan Hubbard. All rights reserved.
@@ -100,6 +100,7 @@ static struct _devname {
{ DEVICE_TYPE_NETWORK, "pn", "Lite-On 82168/82169 PNIC PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "rl", "RealTek 8129/8139 PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "tx", "SMC 9432TX ethernet card" },
+ { DEVICE_TYPE_NETWORK, "ti", "Alteon Networks PCI gigabit ethernet card" },
{ DEVICE_TYPE_NETWORK, "tl", "Texas Instruments ThunderLAN PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "vr", "VIA VT3043/VT86C100A Rhine PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "vx", "3COM 3c590 / 3c595 ethernet card" },
diff --git a/usr.sbin/sysinstall/devices.c b/usr.sbin/sysinstall/devices.c
index 0269d4e..bf4af72 100644
--- a/usr.sbin/sysinstall/devices.c
+++ b/usr.sbin/sysinstall/devices.c
@@ -4,7 +4,7 @@
* This is probably the last program in the `sysinstall' line - the next
* generation being essentially a complete rewrite.
*
- * $Id: devices.c,v 1.88 1999/01/09 18:12:06 wpaul Exp $
+ * $Id: devices.c,v 1.89 1999/04/06 08:25:52 jkh Exp $
*
* Copyright (c) 1995
* Jordan Hubbard. All rights reserved.
@@ -100,6 +100,7 @@ static struct _devname {
{ DEVICE_TYPE_NETWORK, "pn", "Lite-On 82168/82169 PNIC PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "rl", "RealTek 8129/8139 PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "tx", "SMC 9432TX ethernet card" },
+ { DEVICE_TYPE_NETWORK, "ti", "Alteon Networks PCI gigabit ethernet card" },
{ DEVICE_TYPE_NETWORK, "tl", "Texas Instruments ThunderLAN PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "vr", "VIA VT3043/VT86C100A Rhine PCI ethernet card" },
{ DEVICE_TYPE_NETWORK, "vx", "3COM 3c590 / 3c595 ethernet card" },
OpenPOWER on IntegriCloud