summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/niltrace.vhd
blob: 40fc1ca50a9e70f94db758906e18f56ff1f9a492 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

use std.textio.all;
use work.zpu_config.all;
 
 
entity trace is
  port(
       	clk         : in std_logic;
       	begin_inst  : in std_logic;
       	pc          : in std_logic_vector(maxAddrBit downto 0);
		opcode		: in std_logic_vector(7 downto 0);
		sp			: in std_logic_vector(maxAddrBit downto 2);
		memA		: in std_logic_vector(wordSize-1 downto 0);
		busy  : in std_logic);
end trace;
   
   
architecture behave of trace is
  
begin

end behave;
 
OpenPOWER on IntegriCloud