This directory contains a quick setup of the helloworld example for the GHDL simulator. http://ghdl.free.fr/ Compiled by Arnim Laeuger, 17-Apr-2008. Removed ROC/unisim dependency 16-Jun-2008. Compiling the example --------------------- Make all shell scripts executable: $ chmod +x *.sh On Linux, convert files from DOS format: $ dos2unix *.sh You need to import the project sources once by running $ ./ghdl_import.sh Compilation (using GHDL's make feature) is invoked by $ ./ghdl_make.sh Whenever the VHDL sources change, it's enough to execute ghdl_make.sh. GHDL will trace the dependencies and will rebuild only the modified sources. Simulation ---------- Simulation finally happens by running the fpga_top executable generated by the compilation step. Don't forget to set a stop time or the testbench might run forever: $ ./fpga_top --stop-time=2100us The log.txt and trace.txt files are generated as simulation progresses. They should be compared to the files given in the example directory. Waveforms can be obtained by specifying the ghw file name: $ ./fpga_top --stop-time=1ms --wave=zpu.ghw They can be inspected with gtkwave from http://home.nc.rr.com/gtkwave/.