From 66a60bf34fd1960bb3c8f87a784dd2e6d27e2213 Mon Sep 17 00:00:00 2001 From: Bert Lange Date: Sat, 15 Oct 2011 08:50:18 +0200 Subject: minor fix: to satisfy some synthesis tools --- zpu/hdl/zealot/devices/phi_io.vhdl | 2 ++ 1 file changed, 2 insertions(+) (limited to 'zpu/hdl/zealot') diff --git a/zpu/hdl/zealot/devices/phi_io.vhdl b/zpu/hdl/zealot/devices/phi_io.vhdl index b70ccd7..99e0f8f 100644 --- a/zpu/hdl/zealot/devices/phi_io.vhdl +++ b/zpu/hdl/zealot/devices/phi_io.vhdl @@ -158,8 +158,10 @@ begin do_io: process(clk_i) + --synopsys translate off variable line_out : line := new string'(""); variable char : character; + --synopsys translate on begin if rising_edge(clk_i) then if reset_i/='1' then -- cgit v1.1