From 8c213415fe0ddc1f9eae0b96e023eb89f89d1c47 Mon Sep 17 00:00:00 2001 From: oharboe Date: Wed, 18 Jun 2008 17:04:44 +0000 Subject: I'm also attaching another patch which removes unisim/roc dependency (it was used just to pulse the areset) and fixes paths for building the ghdl examples out of the box. I guess this is the easiest way to get zpu running on linux with minimum effort. You should check if the areset change doesn't break modelsim. It feels much simpler this way and seems to work the same, i might be missing something. --- zpu/hdl/example_ghdl/README | 42 ++++++------------------------------------ 1 file changed, 6 insertions(+), 36 deletions(-) (limited to 'zpu/hdl/example_ghdl/README') diff --git a/zpu/hdl/example_ghdl/README b/zpu/hdl/example_ghdl/README index c537284..a098c0c 100644 --- a/zpu/hdl/example_ghdl/README +++ b/zpu/hdl/example_ghdl/README @@ -4,46 +4,16 @@ the GHDL simulator. http://ghdl.free.fr/ Compiled by Arnim Laeuger, 17-Apr-2008. - - -Prerequisites -------------- - -The RTL source code references the ROC component from Xilinx' unisim -library. If not already done, you'll have to prepare this library containing -at least the roc entity and architecture objects. - -Decide where to store this library. This could be locally in this directory or -at some central place where it can be referenced from other projects. I'd -prefer the latter option. - - $ cd - -Prepare the sources for GHDL: - $ mkdir src - $ cd src - $ ghdl --chop /vhdl/src/unisims/* - $ cd .. - -Import the sources into the library: - $ mkdir unisim_v93 - $ ghdl -i --work=unisim --workdir=unisim_v93 --std=93 -fexplicit --no-vital-checks --ieee=synopsys src/* - -Compile the required component: - $ ghdl -m --syn-binding --work=unisim --workdir=unisim_v93 --std=93 -fexplicit --no-vital-checks --ieee=synopsys roc - $ rm roc - -> not required for library - -If you require more components from the unisim library for other projects, you -can repeat the compile step later on without running through the preparation -and import steps. - +Removed ROC/unisim dependency 16-Jun-2008. Compiling the example --------------------- -Edit ghdl_options.sh and point the variable UNISIM_DIR to the location of your -newly created unisim library. +Make all shell scripts executable: + $ chmod +x *.sh + +On Linux, convert files from DOS format: + $ dos2unix *.sh You need to import the project sources once by running $ ./ghdl_import.sh -- cgit v1.1