From f54a7949bc551f4a4ecc20728453cfe09ae65aed Mon Sep 17 00:00:00 2001 From: Bert Lange Date: Fri, 4 Mar 2011 10:40:56 +0100 Subject: change: switch to ieee.numeric_std.all library Signed-off-by: Bert Lange --- zpu/hdl/example/zpu_config.vhd | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) (limited to 'zpu/hdl/example') diff --git a/zpu/hdl/example/zpu_config.vhd b/zpu/hdl/example/zpu_config.vhd index c3c60c1..cd4163d 100644 --- a/zpu/hdl/example/zpu_config.vhd +++ b/zpu/hdl/example/zpu_config.vhd @@ -34,8 +34,7 @@ library ieee; use ieee.std_logic_1164.all; -use ieee.std_logic_unsigned.all; -use ieee.std_logic_arith.all; +use ieee.numeric_std.all; package zpu_config is -- generate trace output @@ -52,5 +51,5 @@ package zpu_config is -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := - conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); + std_logic_vector(to_unsigned((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1)); end zpu_config; -- cgit v1.1