From 8c5a743259480ebd0cfdbb17fbde8584e34b2aa4 Mon Sep 17 00:00:00 2001 From: Bert Lange Date: Wed, 15 Apr 2015 13:54:39 +0200 Subject: clean up --- ieee_proposed/rtl/fixed_float_types_c.vhd | 34 ------------------------------- 1 file changed, 34 deletions(-) delete mode 100644 ieee_proposed/rtl/fixed_float_types_c.vhd (limited to 'ieee_proposed/rtl/fixed_float_types_c.vhd') diff --git a/ieee_proposed/rtl/fixed_float_types_c.vhd b/ieee_proposed/rtl/fixed_float_types_c.vhd deleted file mode 100644 index 315b628..0000000 --- a/ieee_proposed/rtl/fixed_float_types_c.vhd +++ /dev/null @@ -1,34 +0,0 @@ --- -------------------------------------------------------------------- --- "fixed_float_types" package contains types used in the fixed and floating --- point packages.. --- Please see the documentation for the floating point package. --- This package should be compiled into "ieee_proposed" and used as follows: --- --- This verison is designed to work with the VHDL-93 compilers. Please --- note the "%%%" comments. These are where we diverge from the --- VHDL-200X LRM. --- --- -------------------------------------------------------------------- --- Version : $Revision: 1.21 $ --- Date : $Date: 2007-09-11 14:52:13-04 $ --- -------------------------------------------------------------------- - -package fixed_float_types is - - -- Types used for generics of fixed_generic_pkg - - type fixed_round_style_type is (fixed_round, fixed_truncate); - - type fixed_overflow_style_type is (fixed_saturate, fixed_wrap); - - -- Type used for generics of float_generic_pkg - - -- These are the same as the C FE_TONEAREST, FE_UPWARD, FE_DOWNWARD, - -- and FE_TOWARDZERO floating point rounding macros. - - type round_type is (round_nearest, -- Default, nearest LSB '0' - round_inf, -- Round toward positive infinity - round_neginf, -- Round toward negative infinity - round_zero); -- Round toward zero (truncate) - -end package fixed_float_types; -- cgit v1.1