From eeff43d65567e8f0fa8634081682f0d92cee3cee Mon Sep 17 00:00:00 2001 From: oharboe Date: Wed, 18 Jun 2008 19:15:46 +0000 Subject: * zpu_config.vhd: Fixed startSp calculation (address in bytes not words) --- zpu/ChangeLog | 23 +++++++---------------- zpu/hdl/example/zpu_config.vhd | 2 +- 2 files changed, 8 insertions(+), 17 deletions(-) diff --git a/zpu/ChangeLog b/zpu/ChangeLog index 72c76c0..e489bda 100644 --- a/zpu/ChangeLog +++ b/zpu/ChangeLog @@ -1,23 +1,14 @@ -2008-05-18 Miguel Freitas - - * -I'm also attaching another patch which removes unisim/roc dependency -(it was used just to pulse the areset) and fixes paths for building -the ghdl examples out of the box. I guess this is the easiest way to -get zpu running on linux with minimum effort. - -You should check if the areset change doesn't break modelsim. It feels -much simpler this way and seems to work the same, i might be missing -something. - - -2008-05-16 Miguel Freitas - +2008-06-18 Miguel Freitas + * zpu_config.vhd: Fixed startSp calculation (address in bytes not words) +2008-06-18 Miguel Freitas + * Removed unisim/roc dependency (it was used just to pulse the areset) + and fixes paths for building the ghdl examples out of the box. + One should check if the areset change doesn't break modelsim. +2008-06-16 Miguel Freitas * io.vhd: fix address comparsion and added numerous outputs during simulation to make things a bit easier * zpu_config.vhd: do not use hardcoded startSp, allows more easily tinkering w/RAM size - 2008-05-06 Øyvind Harboe * Small ZPU now supports interrupts * added simulation example demonstrating interrupts diff --git a/zpu/hdl/example/zpu_config.vhd b/zpu/hdl/example/zpu_config.vhd index dc2b666..63f6fb9 100644 --- a/zpu/hdl/example/zpu_config.vhd +++ b/zpu/hdl/example/zpu_config.vhd @@ -18,5 +18,5 @@ package zpu_config is -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := - conv_std_logic_vector((2**(maxAddrBitBRAM+1))/4-8, maxAddrBitIncIO+1); + conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); end zpu_config; -- cgit v1.1