summaryrefslogtreecommitdiffstats
path: root/zpu/hdl
Commit message (Collapse)AuthorAgeFilesLines
* change: rename 'State' to 'Insn'Bert Lange2012-03-301-122/+121
|
* minor fix: reduce simulation warnings at 0 psBert Lange2011-10-284-8/+8
|
* add: Spartan3 reference design for zealotBert Lange2011-10-2811-0/+1200
|
* beautify: break long comment linesBert Lange2011-10-283-7/+48
|
* minor fix: permission of build-scriptsBert Lange2011-10-253-0/+0
|
* add: software test for gpio moduleBert Lange2011-10-252-0/+76
|
* add: GPIO module to zealot SoCBert Lange2011-10-2533-2220/+2590
|
* fix: zealot/zpu_small - load, see patch from AlvaroBert Lange2011-10-251-0/+1
|
* add: one more ZPU reference design for zealotBert Lange2011-10-2211-0/+1393
|
* minor fix: to satisfy some synthesis toolsBert Lange2011-10-151-0/+2
|
* add: ZPU reference designs for zealotBert Lange2011-10-1321-0/+2853
|
* change: prettier logifle output (for zealot)Bert Lange2011-10-131-3/+8
|
* beautify indentationBert Lange2011-08-1610-1651/+1482
|
* change: prettier logifle outputBert Lange2011-08-022-61/+38
|
* change: rename signal areset to resetBert Lange2011-08-026-10/+10
|
* fix: missing commentBert Lange2011-06-091-1/+1
|
* change: switch to ieee.numeric_std.all libraryBert Lange2011-03-132-6/+4
| | | | Signed-off-by: Bert Lange <b.lange@hzdr.de>
* whitespace fixes: use fromdos on all .vhd filesØyvind Harboe2011-03-0129-19634/+19634
| | | | Signed-off-by: Øyvind Harboe <oyvind.harboe@zylin.com>
* beautifyBert Lange2011-03-014-1576/+1679
| | | | Signed-off-by: Bert Lange <b.lange@hzdr.de>
* zpu_core_small: loadAlvaro2010-10-221-0/+1
| | | | | | | memAAddr is not being initialized during IO read operations (LOAD). This might cause spurious writes to invalid addresses, and invalid values to be loaded onto the stack. This patch explicitly sets memAAddr to correct value (sp).
* Avalanche ZPU implementationAntonio Anton2009-09-154-0/+2179
|
* 2008-11-12 Álvaro Lopes <alvieboy@alvie.com>oharboe2008-11-121-1/+31
| | | | | * zpu/hdl/zpu4/core/zpu_core.vhd: Basic interrupt implementation for zpu4 core.
* dmips test app in example folderoharboe2008-10-104-3652/+3287
|
* Álvaro Lopes <alvieboy@alvie.com> spi controlleroharboe2008-09-231-0/+235
|
* small ZPUoharboe2008-09-231-0/+136
|
* * zpu/hdl/zealot: added small ZPU core, testbenches and FPGA implementationoharboe2008-09-218-279/+8673
|
* 2008-09-08 Salvador Eduardo Tropea <salvador@inti.gov.ar>oharboe2008-09-0819-0/+11265
| | | | | * zpu/hdl/zealot: a complete ZPU implementation cleaned up and with a UART.
* commenting wipoharboe2008-08-261-4/+26
|
* some more comments about dual port ramoharboe2008-08-261-0/+21
|
* fixed comments a bit.oharboe2008-08-261-2/+1
|
* merging in some docs to zpu_arch.htmloharboe2008-08-211-49/+0
|
* added FreeBSD license. Finally.oharboe2008-08-1515-37/+503
|
* marked unused instruction opcodes as OpCode_NAxoharboe2008-08-151-4/+4
|
* deleted reference to ic300 dating back to ZY2000 implementation.oharboe2008-08-121-1/+0
|
* 2008-08-08 Salvador E. Tropeaoharboe2008-08-081-0/+218
| | | | | * zpu/hdl/zpu4/core/histogram.perl - generate opcode histogram from HDL simulation output
* add missing defs.oharboe2008-08-071-0/+6
|
* * do not enable interrupts for simzpu_small.do. hello worldoharboe2008-06-252-1/+179
| | | | | does not have an interrupt handler, so this caused a BREAK instruction to be executed.
* * zpu_config.vhd: Fixed startSp calculation (address in bytes not words)oharboe2008-06-181-1/+1
|
* Miguel Freitas <mfreitas@gmail.com>oharboe2008-06-182-2/+5
| | | | | | | log.txt and trace.txt currently on cvs were produced by interrupt.vhd. this patch will build example_ghdl with interrupt.vhd by default so user can compare results. adds a note about what user needs to edit to simulate helloworld.vhd without interrupts.
* take 2oharboe2008-06-181-3/+5
|
* I'm also attaching another patch which removes unisim/roc dependencyoharboe2008-06-189-88/+49
| | | | | | | | | | (it was used just to pulse the areset) and fixes paths for building the ghdl examples out of the box. I guess this is the easiest way to get zpu running on linux with minimum effort. You should check if the areset change doesn't break modelsim. It feels much simpler this way and seems to work the same, i might be missing something.
* * io.vhd: fix address comparsion and added numerous outputsoharboe2008-06-172-14/+25
| | | | | | during simulation to make things a bit easier * zpu_config.vhd: do not use hardcoded startSp, allows more easily tinkering w/RAM size
* * Small ZPU now supports interruptsoharboe2008-05-0618-6549/+5477
| | | | * added simulation example demonstrating interrupts
* wipoharboe2008-05-053-0/+3057
|
* wipoharboe2008-05-051-0/+1
|
* * moved ZPU core files to seperate folderoharboe2008-05-0416-522/+2
| | | | * deleted some obsolete files
* * Make code synthesize on Synopsisoharboe2008-05-045-12/+35
| | | | | zpu/hdl/zpu4/src/zpu_core_small.vhd zpu/hdl/zpu4/src/io.vhd
* wip for interruptsoharboe2008-05-012-0/+36
|
* wipoharboe2008-05-011-1/+20
|
* * zpu/hdl/zy1000 - ZPU implementation used on the zy1000 dev kitoharboe2008-05-017-948/+1964
|
OpenPOWER on IntegriCloud