summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src')
-rw-r--r--zpu/hdl/zpu4/src/io.vhd1
1 files changed, 1 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/src/io.vhd b/zpu/hdl/zpu4/src/io.vhd
index f71f51d..e2576e2 100644
--- a/zpu/hdl/zpu4/src/io.vhd
+++ b/zpu/hdl/zpu4/src/io.vhd
@@ -54,6 +54,7 @@ begin
timer_we <= writeEnable and addr(12);
process(areset, clk)
+ variable taddr : std_logic_vector(maxAddrBit downto 0);
begin
taddr := (others => '0');
taddr(maxAddrBit downto minAddrBit) := addr;
OpenPOWER on IntegriCloud