summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/zpupkg.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src/zpupkg.vhd')
-rw-r--r--zpu/hdl/zpu4/src/zpupkg.vhd11
1 files changed, 0 insertions, 11 deletions
diff --git a/zpu/hdl/zpu4/src/zpupkg.vhd b/zpu/hdl/zpu4/src/zpupkg.vhd
index 30c3e46..fd00b9e 100644
--- a/zpu/hdl/zpu4/src/zpupkg.vhd
+++ b/zpu/hdl/zpu4/src/zpupkg.vhd
@@ -23,17 +23,6 @@ package zpupkg is
constant stack_bits : integer := 5;
constant stack_size : integer := 2**stack_bits;
- component dualport_ram is
- port (clk : in std_logic;
- memAWriteEnable : in std_logic;
- memAAddr : in std_logic_vector(maxAddrBitBRAM downto minAddrBit);
- memAWrite : in std_logic_vector(wordSize-1 downto 0);
- memARead : out std_logic_vector(wordSize-1 downto 0);
- memBWriteEnable : in std_logic;
- memBAddr : in std_logic_vector(maxAddrBitBRAM downto minAddrBit);
- memBWrite : in std_logic_vector(wordSize-1 downto 0);
- memBRead : out std_logic_vector(wordSize-1 downto 0));
- end component;
component dram is
port (clk : in std_logic;
OpenPOWER on IntegriCloud