summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/zpu_config_trace.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src/zpu_config_trace.vhd')
-rw-r--r--zpu/hdl/zpu4/src/zpu_config_trace.vhd1
1 files changed, 1 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/src/zpu_config_trace.vhd b/zpu/hdl/zpu4/src/zpu_config_trace.vhd
index a2d7d9d..4d0f15f 100644
--- a/zpu/hdl/zpu4/src/zpu_config_trace.vhd
+++ b/zpu/hdl/zpu4/src/zpu_config_trace.vhd
@@ -12,6 +12,7 @@ package zpu_config is
constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"64";
constant maxAddrBitIncIO : integer := 27;
constant maxAddrBitDRAM : integer := 16;
+ constant maxAddrBitBRAM : integer := 16;
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := x"001fff8";
end zpu_config;
OpenPOWER on IntegriCloud