summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/zpu_config_trace.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src/zpu_config_trace.vhd')
-rw-r--r--zpu/hdl/zpu4/src/zpu_config_trace.vhd1
1 files changed, 0 insertions, 1 deletions
diff --git a/zpu/hdl/zpu4/src/zpu_config_trace.vhd b/zpu/hdl/zpu4/src/zpu_config_trace.vhd
index 4d0f15f..d765d9a 100644
--- a/zpu/hdl/zpu4/src/zpu_config_trace.vhd
+++ b/zpu/hdl/zpu4/src/zpu_config_trace.vhd
@@ -1,6 +1,5 @@
library ieee;
use ieee.std_logic_1164.all;
-use ieee.std_logic_unsigned.all;
package zpu_config is
OpenPOWER on IntegriCloud