summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/zpu_config_fastsim.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src/zpu_config_fastsim.vhd')
-rw-r--r--zpu/hdl/zpu4/src/zpu_config_fastsim.vhd15
1 files changed, 0 insertions, 15 deletions
diff --git a/zpu/hdl/zpu4/src/zpu_config_fastsim.vhd b/zpu/hdl/zpu4/src/zpu_config_fastsim.vhd
deleted file mode 100644
index d39c9e9..0000000
--- a/zpu/hdl/zpu4/src/zpu_config_fastsim.vhd
+++ /dev/null
@@ -1,15 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_unsigned.all;
-
-package zpu_config is
-
- constant Generate_Trace : boolean := false;
- constant wordPower : integer := 5;
- -- during simulation, set this to '0' to get matching trace.txt
- constant DontCareValue : std_logic := '0';
- -- Clock frequency in MHz.
- constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"50"; -- 80MHz
- constant maxAddrBitIncIO : integer := 15;
-
-end zpu_config;
OpenPOWER on IntegriCloud