summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/simzpu.do
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src/simzpu.do')
-rw-r--r--zpu/hdl/zpu4/src/simzpu.do23
1 files changed, 23 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/src/simzpu.do b/zpu/hdl/zpu4/src/simzpu.do
new file mode 100644
index 0000000..e6e3068
--- /dev/null
+++ b/zpu/hdl/zpu4/src/simzpu.do
@@ -0,0 +1,23 @@
+set BreakOnAssertion 1
+vlib work
+
+vcom -93 -explicit zpu_config.vhd
+vcom -93 -explicit zpupkg.vhd
+vcom -93 -explicit txt_util.vhd
+vcom -93 -explicit sim_fpga_top.vhd
+vcom -93 -explicit zpu_core.vhd
+vcom -93 -explicit dram.vhd
+vcom -93 -explicit timer.vhd
+vcom -93 -explicit io.vhd
+vcom -93 -explicit trace.vhd
+
+# run ZPU
+vsim fpga_top
+view wave
+add wave -recursive fpga_top/zpu/*
+#add wave -recursive fpga_top/*
+view structure
+#view signals
+
+# Enough to run tiny programs
+run 1000 ms
OpenPOWER on IntegriCloud