summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/niltrace.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/src/niltrace.vhd')
-rw-r--r--zpu/hdl/zpu4/src/niltrace.vhd26
1 files changed, 26 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/src/niltrace.vhd b/zpu/hdl/zpu4/src/niltrace.vhd
new file mode 100644
index 0000000..40fc1ca
--- /dev/null
+++ b/zpu/hdl/zpu4/src/niltrace.vhd
@@ -0,0 +1,26 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+
+use std.textio.all;
+use work.zpu_config.all;
+
+
+entity trace is
+ port(
+ clk : in std_logic;
+ begin_inst : in std_logic;
+ pc : in std_logic_vector(maxAddrBit downto 0);
+ opcode : in std_logic_vector(7 downto 0);
+ sp : in std_logic_vector(maxAddrBit downto 2);
+ memA : in std_logic_vector(wordSize-1 downto 0);
+ busy : in std_logic);
+end trace;
+
+
+architecture behave of trace is
+
+begin
+
+end behave;
+
OpenPOWER on IntegriCloud