summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/core/zpupkg.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/core/zpupkg.vhd')
-rw-r--r--zpu/hdl/zpu4/core/zpupkg.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/zpu4/core/zpupkg.vhd b/zpu/hdl/zpu4/core/zpupkg.vhd
index a6e749d..0363aca 100644
--- a/zpu/hdl/zpu4/core/zpupkg.vhd
+++ b/zpu/hdl/zpu4/core/zpupkg.vhd
@@ -110,7 +110,7 @@ package zpupkg is
component zpu_core is
port (
clk : in std_logic;
- areset : in std_logic;
+ reset : in std_logic;
enable : in std_logic;
in_mem_busy : in std_logic;
mem_read : in std_logic_vector(wordSize-1 downto 0);
OpenPOWER on IntegriCloud