summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/core/zpu_core_small.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zpu4/core/zpu_core_small.vhd')
-rw-r--r--zpu/hdl/zpu4/core/zpu_core_small.vhd1
1 files changed, 1 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/core/zpu_core_small.vhd b/zpu/hdl/zpu4/core/zpu_core_small.vhd
index f9484bb..681fb09 100644
--- a/zpu/hdl/zpu4/core/zpu_core_small.vhd
+++ b/zpu/hdl/zpu4/core/zpu_core_small.vhd
@@ -494,6 +494,7 @@ begin
null;
end case;
when State_ReadIO =>
+ memAAddr <= sp;
if (in_mem_busy = '0') then
state <= State_Fetch;
memAWriteEnable <= '1';
OpenPOWER on IntegriCloud