summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zealot/fpga/altium-livedesign-xc3s1000/top.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/zealot/fpga/altium-livedesign-xc3s1000/top.vhd')
-rw-r--r--zpu/hdl/zealot/fpga/altium-livedesign-xc3s1000/top.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/zealot/fpga/altium-livedesign-xc3s1000/top.vhd b/zpu/hdl/zealot/fpga/altium-livedesign-xc3s1000/top.vhd
index fbca62b..4a93c4f 100644
--- a/zpu/hdl/zealot/fpga/altium-livedesign-xc3s1000/top.vhd
+++ b/zpu/hdl/zealot/fpga/altium-livedesign-xc3s1000/top.vhd
@@ -102,7 +102,7 @@ architecture rtl of top is
---------------------------
-- constant declarations
- constant zpu_flavour : zpu_type := zpu_small; -- choose your flavour HERE
+ constant zpu_flavour : zpu_type := zpu_medium; -- choose your flavour HERE
-- modify frequency here
constant clk_multiply : positive := 3; -- 9 for small, 3 for medium
constant clk_divide : positive := 2; -- 5 for small, 2 for medium
OpenPOWER on IntegriCloud