summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/example_medium/zpu_config_trace.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/example_medium/zpu_config_trace.vhd')
-rw-r--r--zpu/hdl/example_medium/zpu_config_trace.vhd34
1 files changed, 17 insertions, 17 deletions
diff --git a/zpu/hdl/example_medium/zpu_config_trace.vhd b/zpu/hdl/example_medium/zpu_config_trace.vhd
index d765d9a..a5b9192 100644
--- a/zpu/hdl/example_medium/zpu_config_trace.vhd
+++ b/zpu/hdl/example_medium/zpu_config_trace.vhd
@@ -1,17 +1,17 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-package zpu_config is
-
- constant Generate_Trace : boolean := true;
- constant wordPower : integer := 5;
- -- during simulation, set this to '0' to get matching trace.txt
- constant DontCareValue : std_logic := '0';
- -- Clock frequency in MHz.
- constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"64";
- constant maxAddrBitIncIO : integer := 27;
- constant maxAddrBitDRAM : integer := 16;
- constant maxAddrBitBRAM : integer := 16;
- constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := x"001fff8";
-
-end zpu_config;
+library ieee;
+use ieee.std_logic_1164.all;
+
+package zpu_config is
+
+ constant Generate_Trace : boolean := true;
+ constant wordPower : integer := 5;
+ -- during simulation, set this to '0' to get matching trace.txt
+ constant DontCareValue : std_logic := '0';
+ -- Clock frequency in MHz.
+ constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"64";
+ constant maxAddrBitIncIO : integer := 27;
+ constant maxAddrBitDRAM : integer := 16;
+ constant maxAddrBitBRAM : integer := 16;
+ constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := x"001fff8";
+
+end zpu_config;
OpenPOWER on IntegriCloud