summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/example/zpu_config.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/example/zpu_config.vhd')
-rw-r--r--zpu/hdl/example/zpu_config.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/example/zpu_config.vhd b/zpu/hdl/example/zpu_config.vhd
index dc2b666..63f6fb9 100644
--- a/zpu/hdl/example/zpu_config.vhd
+++ b/zpu/hdl/example/zpu_config.vhd
@@ -18,5 +18,5 @@ package zpu_config is
-- start byte address of stack.
-- point to top of RAM - 2*words
constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
- conv_std_logic_vector((2**(maxAddrBitBRAM+1))/4-8, maxAddrBitIncIO+1);
+ conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1);
end zpu_config;
OpenPOWER on IntegriCloud