summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/example/zpu_config.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/example/zpu_config.vhd')
-rw-r--r--zpu/hdl/example/zpu_config.vhd4
1 files changed, 3 insertions, 1 deletions
diff --git a/zpu/hdl/example/zpu_config.vhd b/zpu/hdl/example/zpu_config.vhd
index a59ac8e..dc2b666 100644
--- a/zpu/hdl/example/zpu_config.vhd
+++ b/zpu/hdl/example/zpu_config.vhd
@@ -1,6 +1,7 @@
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
+use ieee.std_logic_arith.all;
package zpu_config is
-- generate trace output
@@ -16,5 +17,6 @@ package zpu_config is
-- start byte address of stack.
-- point to top of RAM - 2*words
- constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := x"1fffff8";
+ constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) :=
+ conv_std_logic_vector((2**(maxAddrBitBRAM+1))/4-8, maxAddrBitIncIO+1);
end zpu_config;
OpenPOWER on IntegriCloud