summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/example/sim_small_fpga_top_noint.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/example/sim_small_fpga_top_noint.vhd')
-rw-r--r--zpu/hdl/example/sim_small_fpga_top_noint.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/example/sim_small_fpga_top_noint.vhd b/zpu/hdl/example/sim_small_fpga_top_noint.vhd
index 0edb8c7..1a83131 100644
--- a/zpu/hdl/example/sim_small_fpga_top_noint.vhd
+++ b/zpu/hdl/example/sim_small_fpga_top_noint.vhd
@@ -113,7 +113,7 @@ begin
zpu: zpu_core port map (
clk => clk ,
- areset => areset,
+ reset => areset,
enable => enable,
in_mem_busy => mem_busy,
mem_read => mem_read,
OpenPOWER on IntegriCloud