summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/example/sim_small_fpga_top.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'zpu/hdl/example/sim_small_fpga_top.vhd')
-rw-r--r--zpu/hdl/example/sim_small_fpga_top.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/example/sim_small_fpga_top.vhd b/zpu/hdl/example/sim_small_fpga_top.vhd
index e671460..8054489 100644
--- a/zpu/hdl/example/sim_small_fpga_top.vhd
+++ b/zpu/hdl/example/sim_small_fpga_top.vhd
@@ -115,7 +115,7 @@ begin
zpu: zpu_core port map (
clk => clk ,
- areset => areset,
+ reset => areset,
enable => enable,
in_mem_busy => mem_busy,
mem_read => mem_read,
OpenPOWER on IntegriCloud