summaryrefslogtreecommitdiffstats
path: root/test_rrobin_problem/simulation/vhdl_files.txt
diff options
context:
space:
mode:
Diffstat (limited to 'test_rrobin_problem/simulation/vhdl_files.txt')
-rw-r--r--test_rrobin_problem/simulation/vhdl_files.txt22
1 files changed, 22 insertions, 0 deletions
diff --git a/test_rrobin_problem/simulation/vhdl_files.txt b/test_rrobin_problem/simulation/vhdl_files.txt
new file mode 100644
index 0000000..d2f9c6f
--- /dev/null
+++ b/test_rrobin_problem/simulation/vhdl_files.txt
@@ -0,0 +1,22 @@
+test_rrobin ../rtl/led_control_ahb.vhd
+test_rrobin ../rtl/box.vhd
+test_rrobin ../rtl/top.vhd
+test_rrobin ../rtl_tb/top_tb.vhd
+hzdr ../../hzdr/rtl/devices_hzdr.vhd
+grlib ../../grlib/rtl/ahbctrl.vhd
+grlib ../../grlib/rtl/amba.vhd
+grlib ../../grlib/rtl/apbctrl.vhd
+grlib ../../grlib/rtl/devices.vhd
+grlib ../../grlib/rtl/config.vhd
+grlib ../../grlib/rtl/util.vhd
+grlib ../../grlib/rtl/testlib.vhd
+grlib ../../grlib/rtl/stdlib.vhd
+grlib ../../grlib/rtl/version.vhd
+grlib ../../grlib/rtl_tb/stdio.vhd
+techmap ../../techmap/rtl/gencomp.vhd
+gaisler ../../gaisler/rtl/apbuart.vhd
+gaisler ../../gaisler/rtl/grgpio.vhd
+gaisler ../../gaisler/rtl/grgpreg.vhd
+gaisler ../../gaisler/rtl/misc.vhd
+gaisler ../../gaisler/rtl/net.vhd
+gaisler ../../gaisler/rtl/uart.vhd
OpenPOWER on IntegriCloud