summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--hw_s3estarter/simulation/Makefile13
-rw-r--r--hw_sp605/bsp/Makefile_simulation11
-rw-r--r--hw_v5_fx30t_extension/simulation/Makefile17
-rw-r--r--pr_maschinen_interlock/simulation/Makefile9
-rw-r--r--rena3/simulation/Makefile10
-rw-r--r--sfp_sender/simulation/Makefile13
-rw-r--r--test_rrobin_problem/simulation/Makefile9
-rw-r--r--zpu/simulation/Makefile17
8 files changed, 55 insertions, 44 deletions
diff --git a/hw_s3estarter/simulation/Makefile b/hw_s3estarter/simulation/Makefile
index 557cd6e..06e0992 100644
--- a/hw_s3estarter/simulation/Makefile
+++ b/hw_s3estarter/simulation/Makefile
@@ -12,7 +12,7 @@ software_dir = ../software/test
VMK = vmk
# generate list of used libs
-LIBS = $(shell cut vhdl_files.txt --field 1 --delimiter=' ' | uniq)
+library_list = $(shell cut vhdl_files.txt --field 1 --delimiter=' ' | uniq)
@@ -25,12 +25,11 @@ compile: Makefile.msim
test -d $(software_dir) && make --directory $(software_dir) | ccze -A
export ANAFLAGS="-quiet -2008"; \
make -f Makefile.msim | ccze -A
- #vlog $(verilogfiles)
simulate:
export top=$(top); \
- vsim -quiet -gui work.$(top) -do run.do -l transcript.log
+ vsim -quiet -gui $(library).$(top) -do run.do -l transcript.log
clean:
@@ -40,13 +39,15 @@ clean:
rm -f wlf*
@# compile stuff
-make -f Makefile.msim clean
- @for LIB in $(LIBS) ; do rm -rf $$LIB ; done
+ rm -rf $(library_list)
rm -f Makefile.msim
rm -f .stamp
# generate Makefile.msim with vmk
-Makefile.msim: vhdl_files.txt
- @for LIB in $(LIBS) ; do vlib $$LIB ; done
+Makefile.msim: vhdl_files.txt $(library_list)
$(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
+$(library_list):
+ vlib $@
+
diff --git a/hw_sp605/bsp/Makefile_simulation b/hw_sp605/bsp/Makefile_simulation
index aad76b7..ab9f8be 100644
--- a/hw_sp605/bsp/Makefile_simulation
+++ b/hw_sp605/bsp/Makefile_simulation
@@ -28,7 +28,7 @@ compile: Makefile.msim
simulate:
export top=$(top); \
- vsim -quiet -gui work.$(top) -do run.do -nowlfmcl -l transcript.log
+ vsim -quiet -gui $(library).$(top) -do run.do -nowlfmcl -l transcript.log
clean:
@@ -40,11 +40,14 @@ clean:
make -f Makefile.msim clean
rm -f Makefile.msim
rm -f .stamp
- for lib in $(library_list); do rm -rf $$lib; done
+ rm -rf $(library_list)
# generate Makefile.msim with vmk
-Makefile.msim: vhdl_files.txt
- for lib in $(library_list); do vlib $$lib; done
+Makefile.msim: vhdl_files.txt $(library_list)
$(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
+
+$(library_list):
+ vlib $@
+
diff --git a/hw_v5_fx30t_extension/simulation/Makefile b/hw_v5_fx30t_extension/simulation/Makefile
index f67ee5a..5649e29 100644
--- a/hw_v5_fx30t_extension/simulation/Makefile
+++ b/hw_v5_fx30t_extension/simulation/Makefile
@@ -27,7 +27,6 @@ simulate:
clean:
- rm -rf $(library)
rm -f transcript
rm -f *.wlf
rm -f wlf*
@@ -35,19 +34,13 @@ clean:
make -f Makefile.msim clean
rm -f Makefile.msim
rm -f .stamp
- for lib in $(library_list); do rm -rf $$lib; done
-
-
-# default patterns
-
-lib: $(library)
-
-$(library):
- vlib $(library)
+ rm -rf $(library_list)
# generate Makefile.msim with vmk
-Makefile.msim: vhdl_files.txt lib
- for lib in $(library_list); do vlib $$lib; done
+Makefile.msim: vhdl_files.txt $(library_list)
$(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
+$(library_list):
+ vlib $@
+
diff --git a/pr_maschinen_interlock/simulation/Makefile b/pr_maschinen_interlock/simulation/Makefile
index 6e85088..00a847d 100644
--- a/pr_maschinen_interlock/simulation/Makefile
+++ b/pr_maschinen_interlock/simulation/Makefile
@@ -26,11 +26,14 @@ clean:
@# vmk stuff
make -f Makefile.msim clean
rm -f Makefile.msim
- for lib in $(library_list); do rm -rf $$lib; done
+ rm -rf $(library_list)
# generate Makefile.msim with vmk
-Makefile.msim: vhdl_files.txt
- for lib in $(library_list); do vlib $$lib; done
+Makefile.msim: vhdl_files.txt $(library_list)
$(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
+
+$(library_list):
+ vlib $@
+
diff --git a/rena3/simulation/Makefile b/rena3/simulation/Makefile
index 7e7dd66..5b67dc7 100644
--- a/rena3/simulation/Makefile
+++ b/rena3/simulation/Makefile
@@ -29,7 +29,7 @@ compile: Makefile.msim
simulate:
export top=$(top); \
- vsim -quiet -gui rena3.$(top) -do run.do -l transcript.log
+ vsim -quiet -gui $(library).$(top) -do run.do -l transcript.log
clean:
@@ -39,13 +39,15 @@ clean:
rm -f wlf*
@# compile stuff
-make -f Makefile.msim clean
- for lib in $(library_list); do rm -rf $$lib; done
+ rm -rf $(library_list)
rm -f Makefile.msim
rm -f .stamp
# generate Makefile.msim with vmk
-Makefile.msim: vhdl_files.txt
- for lib in $(library_list); do vlib $$lib; done
+Makefile.msim: vhdl_files.txt $(library_list)
$(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
+
+$(library_list):
+ vlib $@
diff --git a/sfp_sender/simulation/Makefile b/sfp_sender/simulation/Makefile
index 34399b8..558e2d0 100644
--- a/sfp_sender/simulation/Makefile
+++ b/sfp_sender/simulation/Makefile
@@ -13,7 +13,7 @@ software_dir = ../software
VMK = vmk
# generate list of used libs
-LIBS = $(shell cut vhdl_files.txt --field 1 --delimiter=' ' | uniq)
+library_list = $(shell cut vhdl_files.txt --field 1 --delimiter=' ' | uniq)
@@ -28,7 +28,7 @@ compile: Makefile.msim
simulate:
export top=$(top); \
- vsim -quiet -gui work.$(top) -do run.do -nowlfmcl -l transcript.log
+ vsim -quiet -gui $(library).$(top) -do run.do -nowlfmcl -l transcript.log
clean:
@@ -38,14 +38,17 @@ clean:
rm -f wlf*
@# compile stuff
-make -f Makefile.msim clean
- @for LIB in $(LIBS) ; do rm -rf $$LIB ; done
+ rm -rf $(library_list)
rm -f Makefile.msim
rm -f .stamp
# generate Makefile.msim with vmk
-Makefile.msim: vhdl_files.txt
- @for LIB in $(LIBS) ; do vlib $$LIB ; done
+Makefile.msim: vhdl_files.txt $(library_list)
$(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
+$(library_list):
+ vlib $@
+
+
diff --git a/test_rrobin_problem/simulation/Makefile b/test_rrobin_problem/simulation/Makefile
index e4ee327..60d3c98 100644
--- a/test_rrobin_problem/simulation/Makefile
+++ b/test_rrobin_problem/simulation/Makefile
@@ -40,11 +40,14 @@ clean:
@# vmk stuff
make -f Makefile.msim clean
rm -f Makefile.msim
- for lib in $(library_list); do rm -rf $$lib; done
+ rm -rf $(library_list)
# generate Makefile.msim with vmk
-Makefile.msim: vhdl_files.txt
- for lib in $(library_list); do vlib $$lib; done
+Makefile.msim: vhdl_files.txt $(library_list)
$(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
+
+$(library_list):
+ vlib $@
+
diff --git a/zpu/simulation/Makefile b/zpu/simulation/Makefile
index cbb9a98..e43707f 100644
--- a/zpu/simulation/Makefile
+++ b/zpu/simulation/Makefile
@@ -4,6 +4,9 @@ library = zpu
# http://sourceforge.net/projects/vmk/
VMK = vmk
+# generate list of used libs
+library_list = $(shell cut --field 1 --delimiter=" " --only-delimited vhdl_files.txt | uniq)
+
help:
@echo "defined targets:"
@@ -24,20 +27,20 @@ simulate:
vsim -quiet -gui -do run.do -l transcript.log
clean:
- rm -rf $(library)
rm -f transcript.log
rm -f *.wlf
rm -f trace.txt
rm -f log.txt
- make -f Makefile.msim clean
- rm -rf grlib
- rm -rf zpu
+ -make -f Makefile.msim clean
+ rm -rf $(library_list)
rm -f Makefile.msim
rm -f .stamp
# generate Makefile.msim with vmk
-Makefile.msim: vhdl_files.txt
- vlib zpu
- vlib grlib
+Makefile.msim: vhdl_files.txt $(library_list)
$(VMK) -t modelsim -O -w $(library) -F vhdl_files.txt
+
+$(library_list):
+ vlib $@
+
OpenPOWER on IntegriCloud