summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4
diff options
context:
space:
mode:
authoroharboe <oharboe>2008-05-05 11:40:45 +0000
committeroharboe <oharboe>2008-05-05 11:40:45 +0000
commitef1ebefa6075994c4f0a76035b585def8c5c1d3a (patch)
treec4adf2fd23b2534f3c21991155c570e8c84ed1a6 /zpu/hdl/zpu4
parentc82fe03eaaf5216776c55dd43e01191cd558eb92 (diff)
downloadzpu-ef1ebefa6075994c4f0a76035b585def8c5c1d3a.zip
zpu-ef1ebefa6075994c4f0a76035b585def8c5c1d3a.tar.gz
wip
Diffstat (limited to 'zpu/hdl/zpu4')
-rw-r--r--zpu/hdl/zpu4/core/zpu_core_small_wip.vhd1
1 files changed, 1 insertions, 0 deletions
diff --git a/zpu/hdl/zpu4/core/zpu_core_small_wip.vhd b/zpu/hdl/zpu4/core/zpu_core_small_wip.vhd
index 8d87804..a169103 100644
--- a/zpu/hdl/zpu4/core/zpu_core_small_wip.vhd
+++ b/zpu/hdl/zpu4/core/zpu_core_small_wip.vhd
@@ -453,6 +453,7 @@ begin
when State_Decode =>
if interrupt='1' and inInterrupt='0' and idim_flag='0' then
-- We got an interrupt, execute interrupt instead of next instruction
+ inInterrupt <= '1';
decodedOpcode <= Decoded_Interrupt;
end if;
-- during the State_Execute cycle we'll be fetching SP+1
OpenPOWER on IntegriCloud