summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/test/interrupt
diff options
context:
space:
mode:
authoroharboe <oharboe>2008-05-06 06:39:21 +0000
committeroharboe <oharboe>2008-05-06 06:39:21 +0000
commit6c7ee841131808466eb0c93e5c8f112771004fbf (patch)
treed329cf4cc6f115b99ce24890828bef322e2db6f1 /zpu/hdl/zpu4/test/interrupt
parent3d2b8306640ae65aa9b48f70f50c3396324455be (diff)
downloadzpu-6c7ee841131808466eb0c93e5c8f112771004fbf.zip
zpu-6c7ee841131808466eb0c93e5c8f112771004fbf.tar.gz
* Small ZPU now supports interrupts
* added simulation example demonstrating interrupts
Diffstat (limited to 'zpu/hdl/zpu4/test/interrupt')
-rw-r--r--zpu/hdl/zpu4/test/interrupt/int.binbin12232 -> 12232 bytes
-rw-r--r--zpu/hdl/zpu4/test/interrupt/int.c7
-rw-r--r--zpu/hdl/zpu4/test/interrupt/int.elfbin150454 -> 150458 bytes
-rw-r--r--zpu/hdl/zpu4/test/interrupt/int.ram4380
4 files changed, 2196 insertions, 2191 deletions
diff --git a/zpu/hdl/zpu4/test/interrupt/int.bin b/zpu/hdl/zpu4/test/interrupt/int.bin
index 94cbe31..282f53b 100644
--- a/zpu/hdl/zpu4/test/interrupt/int.bin
+++ b/zpu/hdl/zpu4/test/interrupt/int.bin
Binary files differ
diff --git a/zpu/hdl/zpu4/test/interrupt/int.c b/zpu/hdl/zpu4/test/interrupt/int.c
index 1b6ec01..6ab28f7 100644
--- a/zpu/hdl/zpu4/test/interrupt/int.c
+++ b/zpu/hdl/zpu4/test/interrupt/int.c
@@ -4,11 +4,15 @@
#include <stdio.h>
-int counter;
+volatile int counter;
/* Example of single, fixed interval non-maskable, nested interrupt. The interrupt signal is
* held high for enough cycles to guarantee that it will be noticed, i.e. longer than
* any io access + 4 cycles roughly.
+ *
+ * Any non-trivial interrupt controller would have support for
+ * acknowledging interrupts(i.e. keep interrupts asserted until
+ * software acknowledges them via memory mapped IO).
*/
void _zpu_interrupt(void)
{
@@ -29,6 +33,7 @@ int main(int argc, char **argv)
} else
{
puts("Got interrupt\n");
+ t=counter;
}
}
diff --git a/zpu/hdl/zpu4/test/interrupt/int.elf b/zpu/hdl/zpu4/test/interrupt/int.elf
index a550987..346d148 100644
--- a/zpu/hdl/zpu4/test/interrupt/int.elf
+++ b/zpu/hdl/zpu4/test/interrupt/int.elf
Binary files differ
diff --git a/zpu/hdl/zpu4/test/interrupt/int.ram b/zpu/hdl/zpu4/test/interrupt/int.ram
index bcb424a..6751ec2 100644
--- a/zpu/hdl/zpu4/test/interrupt/int.ram
+++ b/zpu/hdl/zpu4/test/interrupt/int.ram
@@ -2,7 +2,7 @@
1 => x"82700b0b",
2 => x"80cfe00c",
3 => x"3a0b0b80",
-4 => x"c6e10400",
+4 => x"c6e00400",
5 => x"00000000",
6 => x"00000000",
7 => x"00000000",
@@ -169,7 +169,7 @@
168 => x"80088408",
169 => x"88087575",
170 => x"0b0b0b8b",
-171 => x"ac2d5050",
+171 => x"ab2d5050",
172 => x"80085688",
173 => x"0c840c80",
174 => x"0c510400",
@@ -177,7 +177,7 @@
176 => x"80088408",
177 => x"88087575",
178 => x"0b0b0b8b",
-179 => x"f02d5050",
+179 => x"ef2d5050",
180 => x"80085688",
181 => x"0c840c80",
182 => x"0c510400",
@@ -255,7 +255,7 @@
254 => x"00000000",
255 => x"00000000",
256 => x"82c53f80",
-257 => x"c6e73f04",
+257 => x"c6e63f04",
258 => x"10101010",
259 => x"10101010",
260 => x"10101010",
@@ -346,2192 +346,2192 @@
345 => x"dfc4510b",
346 => x"0b0bf594",
347 => x"3f500404",
-348 => x"80dfe008",
-349 => x"810580df",
-350 => x"e00c04fe",
-351 => x"3d0d80df",
-352 => x"e0087054",
-353 => x"5272722e",
-354 => x"953880cf",
-355 => x"9c5182bb",
-356 => x"3f80dfe0",
-357 => x"08527272",
-358 => x"2e098106",
-359 => x"ed3880cf",
-360 => x"ac5182a7",
-361 => x"3f80dfe0",
-362 => x"0852eb39",
-363 => x"fb3d0d77",
-364 => x"79555580",
-365 => x"56757524",
-366 => x"ab388074",
-367 => x"249d3880",
-368 => x"53735274",
-369 => x"5180e13f",
-370 => x"80085475",
-371 => x"802e8538",
-372 => x"80083054",
-373 => x"73800c87",
-374 => x"3d0d0473",
-375 => x"30768132",
-376 => x"5754dc39",
-377 => x"74305581",
-378 => x"56738025",
-379 => x"d238ec39",
-380 => x"fa3d0d78",
-381 => x"7a575580",
-382 => x"57767524",
-383 => x"a438759f",
-384 => x"2c548153",
-385 => x"75743274",
-386 => x"31527451",
-387 => x"9b3f8008",
-388 => x"5476802e",
-389 => x"85388008",
-390 => x"30547380",
-391 => x"0c883d0d",
-392 => x"04743055",
-393 => x"8157d739",
-394 => x"fc3d0d76",
-395 => x"78535481",
-396 => x"53807473",
-397 => x"26525572",
-398 => x"802e9838",
-399 => x"70802eab",
-400 => x"38807224",
-401 => x"a6387110",
-402 => x"73107572",
-403 => x"26535452",
-404 => x"72ea3873",
-405 => x"51788338",
-406 => x"74517080",
-407 => x"0c863d0d",
-408 => x"04720a10",
-409 => x"0a720a10",
-410 => x"0a535372",
-411 => x"802ee438",
-412 => x"717426ed",
-413 => x"38737231",
-414 => x"75740774",
-415 => x"0a100a74",
-416 => x"0a100a55",
-417 => x"555654e3",
-418 => x"39f73d0d",
-419 => x"7c705253",
-420 => x"80fd3f72",
-421 => x"54800855",
-422 => x"0b0b80cf",
-423 => x"b8568157",
-424 => x"80088105",
-425 => x"5a8b3de4",
-426 => x"11595382",
-427 => x"59f41352",
-428 => x"7b881108",
-429 => x"525381b4",
-430 => x"3f800830",
-431 => x"70800807",
-432 => x"9f2c8a07",
-433 => x"800c538b",
-434 => x"3d0d04f6",
-435 => x"3d0d7c80",
-436 => x"cfec0871",
-437 => x"535553b7",
-438 => x"3f725580",
-439 => x"08560b0b",
-440 => x"80cfb857",
-441 => x"81588008",
-442 => x"81055b8c",
-443 => x"3de4115a",
-444 => x"53825af4",
-445 => x"13528814",
-446 => x"085180f0",
-447 => x"3f800830",
-448 => x"70800807",
-449 => x"9f2c8a07",
-450 => x"800c548c",
-451 => x"3d0d0470",
-452 => x"70707075",
-453 => x"70718306",
-454 => x"53555270",
-455 => x"b4387170",
-456 => x"087009f7",
-457 => x"fbfdff12",
-458 => x"06f88482",
-459 => x"81800654",
-460 => x"5253719b",
-461 => x"38841370",
-462 => x"087009f7",
-463 => x"fbfdff12",
-464 => x"06f88482",
-465 => x"81800654",
-466 => x"52537180",
-467 => x"2ee73872",
-468 => x"52713353",
-469 => x"72802e8a",
-470 => x"38811270",
-471 => x"33545272",
-472 => x"f8387174",
-473 => x"31800c50",
-474 => x"50505004",
-475 => x"f23d0d60",
-476 => x"62881108",
-477 => x"7058565f",
-478 => x"5a73802e",
-479 => x"818c388c",
-480 => x"1a227083",
-481 => x"2a813281",
-482 => x"06565874",
-483 => x"8638901a",
-484 => x"08913879",
-485 => x"5190b73f",
-486 => x"ff558008",
-487 => x"80ec388c",
-488 => x"1a22587d",
-489 => x"08558078",
-490 => x"83ffff06",
-491 => x"700a100a",
-492 => x"8106415c",
-493 => x"577e772e",
-494 => x"80d73876",
-495 => x"90387408",
-496 => x"84160888",
-497 => x"17575856",
-498 => x"76802ef2",
-499 => x"38765488",
-500 => x"80772784",
-501 => x"38888054",
-502 => x"73537552",
-503 => x"9c1a0851",
-504 => x"a41a0858",
-505 => x"772d800b",
-506 => x"80082582",
-507 => x"e0388008",
-508 => x"16778008",
-509 => x"317f8805",
-510 => x"08800831",
-511 => x"70618805",
-512 => x"0c5b5856",
-513 => x"78ffb438",
-514 => x"80557480",
-515 => x"0c903d0d",
-516 => x"047a8132",
-517 => x"81067740",
-518 => x"5675802e",
-519 => x"81bd3876",
-520 => x"90387408",
-521 => x"84160888",
-522 => x"17575859",
-523 => x"76802ef2",
-524 => x"38881a08",
-525 => x"7883ffff",
-526 => x"0670892a",
-527 => x"81065659",
-528 => x"5673802e",
-529 => x"82f83875",
-530 => x"77278b38",
-531 => x"77872a81",
-532 => x"065c7b82",
-533 => x"b5387676",
-534 => x"27833876",
-535 => x"56755378",
-536 => x"52790851",
-537 => x"85833f88",
-538 => x"1a087631",
-539 => x"881b0c79",
-540 => x"08167a0c",
-541 => x"76567519",
-542 => x"7777317f",
-543 => x"88050878",
-544 => x"31706188",
-545 => x"050c4158",
-546 => x"597e802e",
-547 => x"fefa388c",
-548 => x"1a2258ff",
-549 => x"8a397879",
-550 => x"547c537b",
-551 => x"525684c9",
-552 => x"3f881a08",
-553 => x"7931881b",
-554 => x"0c790819",
-555 => x"7a0c7c76",
-556 => x"315d7c8e",
-557 => x"3879518f",
-558 => x"f23f8008",
-559 => x"818f3880",
-560 => x"085f751c",
-561 => x"7777317f",
-562 => x"88050878",
-563 => x"31706188",
-564 => x"050c5d58",
-565 => x"5c7a802e",
-566 => x"feae3876",
-567 => x"81833874",
-568 => x"08841608",
-569 => x"88175758",
-570 => x"5c76802e",
-571 => x"f2387653",
-572 => x"8a527b51",
-573 => x"82d33f80",
-574 => x"087c3181",
-575 => x"055d8008",
-576 => x"84388117",
-577 => x"5d815f7c",
-578 => x"59767d27",
-579 => x"83387659",
-580 => x"941a0888",
-581 => x"1b081157",
-582 => x"58807a08",
-583 => x"5c54901a",
-584 => x"087b2783",
-585 => x"38815475",
-586 => x"79258438",
-587 => x"73ba3877",
-588 => x"7924fee2",
-589 => x"3877537b",
-590 => x"529c1a08",
-591 => x"51a41a08",
-592 => x"59782d80",
-593 => x"08568008",
-594 => x"8024fee2",
-595 => x"388c1a22",
-596 => x"80c0075e",
-597 => x"7d8c1b23",
-598 => x"ff557480",
-599 => x"0c903d0d",
-600 => x"047effa3",
-601 => x"38ff8739",
-602 => x"75537b52",
-603 => x"7a5182f9",
-604 => x"3f790816",
-605 => x"7a0c7951",
-606 => x"8eb13f80",
-607 => x"08cf387c",
-608 => x"76315d7c",
-609 => x"febc38fe",
-610 => x"ac39901a",
-611 => x"087a0871",
-612 => x"31781170",
-613 => x"565a5752",
-614 => x"80cfec08",
-615 => x"5184943f",
-616 => x"8008802e",
-617 => x"ffa73880",
-618 => x"08901b0c",
-619 => x"8008167a",
-620 => x"0c77941b",
-621 => x"0c76881b",
-622 => x"0c7656fd",
-623 => x"99397908",
-624 => x"58901a08",
-625 => x"78278338",
-626 => x"81547577",
-627 => x"27843873",
-628 => x"b338941a",
-629 => x"08547377",
-630 => x"2680d338",
-631 => x"73537852",
-632 => x"9c1a0851",
-633 => x"a41a0858",
-634 => x"772d8008",
-635 => x"56800880",
-636 => x"24fd8338",
-637 => x"8c1a2280",
-638 => x"c0075e7d",
-639 => x"8c1b23ff",
-640 => x"55fed739",
-641 => x"75537852",
-642 => x"775181dd",
-643 => x"3f790816",
-644 => x"7a0c7951",
-645 => x"8d953f80",
-646 => x"08802efc",
-647 => x"d9388c1a",
-648 => x"2280c007",
-649 => x"5e7d8c1b",
-650 => x"23ff55fe",
-651 => x"ad397677",
-652 => x"54795378",
-653 => x"525681b1",
-654 => x"3f881a08",
-655 => x"7731881b",
-656 => x"0c790817",
-657 => x"7a0cfcae",
-658 => x"39fa3d0d",
-659 => x"7a790288",
-660 => x"05a70533",
-661 => x"55535483",
-662 => x"742780df",
-663 => x"38718306",
-664 => x"517080d7",
-665 => x"38717157",
-666 => x"55835175",
-667 => x"82802913",
-668 => x"ff125256",
-669 => x"708025f3",
-670 => x"38837427",
-671 => x"bc387408",
-672 => x"76327009",
-673 => x"f7fbfdff",
-674 => x"1206f884",
-675 => x"82818006",
-676 => x"51517080",
-677 => x"2e983874",
-678 => x"51805270",
-679 => x"33577277",
-680 => x"2eb93881",
-681 => x"11811353",
-682 => x"51837227",
-683 => x"ee38fc14",
-684 => x"84165654",
-685 => x"738326c6",
-686 => x"387452ff",
-687 => x"145170ff",
-688 => x"2e973871",
-689 => x"33547274",
-690 => x"2e983881",
-691 => x"12ff1252",
-692 => x"5270ff2e",
-693 => x"098106eb",
-694 => x"38805170",
-695 => x"800c883d",
-696 => x"0d047180",
-697 => x"0c883d0d",
-698 => x"04fa3d0d",
-699 => x"787a7c72",
-700 => x"72725957",
-701 => x"55585657",
-702 => x"747727b2",
-703 => x"38751551",
-704 => x"767127aa",
-705 => x"38707618",
-706 => x"ff185353",
-707 => x"5370ff2e",
-708 => x"9638ff12",
-709 => x"ff145452",
-710 => x"72337234",
-711 => x"ff115170",
-712 => x"ff2e0981",
-713 => x"06ec3876",
-714 => x"800c883d",
-715 => x"0d048f76",
-716 => x"2780e638",
-717 => x"74770783",
-718 => x"06517080",
-719 => x"dc387675",
-720 => x"52537070",
-721 => x"84055208",
-722 => x"73708405",
-723 => x"550c7271",
-724 => x"70840553",
-725 => x"08717084",
-726 => x"05530c71",
-727 => x"70840553",
-728 => x"08717084",
-729 => x"05530c71",
-730 => x"70840553",
-731 => x"08717084",
-732 => x"05530cf0",
-733 => x"15555373",
-734 => x"8f26c738",
-735 => x"83742795",
-736 => x"38707084",
-737 => x"05520873",
-738 => x"70840555",
-739 => x"0cfc1454",
-740 => x"738326ed",
-741 => x"38727154",
-742 => x"52ff1451",
-743 => x"70ff2eff",
-744 => x"86387270",
-745 => x"81055433",
-746 => x"72708105",
-747 => x"5434ff11",
-748 => x"51ea39ef",
-749 => x"3d0d6365",
-750 => x"67405d42",
-751 => x"7b802e85",
-752 => x"82386151",
-753 => x"a9e73ff8",
-754 => x"1c708412",
-755 => x"0870fc06",
-756 => x"70628b05",
-757 => x"70f80641",
-758 => x"59455c5f",
-759 => x"41579674",
-760 => x"2782c538",
-761 => x"807b247e",
-762 => x"7c260758",
-763 => x"80547774",
-764 => x"2e098106",
-765 => x"82ab3878",
-766 => x"7b2581fe",
-767 => x"38781780",
-768 => x"d7a80b88",
-769 => x"05085b56",
-770 => x"79762e84",
-771 => x"c5388416",
-772 => x"0870fe06",
-773 => x"17841108",
-774 => x"81064155",
-775 => x"557e828d",
-776 => x"3874fc06",
-777 => x"5879762e",
-778 => x"84e33878",
-779 => x"185f7e7b",
-780 => x"2581ff38",
-781 => x"7c810654",
-782 => x"7382c138",
-783 => x"76770831",
-784 => x"841108fc",
-785 => x"06565775",
-786 => x"802e9138",
-787 => x"79762e84",
-788 => x"f0387418",
-789 => x"1958777b",
-790 => x"25849138",
-791 => x"76802e82",
-792 => x"9b387815",
-793 => x"567a7624",
-794 => x"8292388c",
-795 => x"17088818",
-796 => x"08718c12",
-797 => x"0c88120c",
-798 => x"5e755988",
-799 => x"1761fc05",
-800 => x"5b5679a4",
-801 => x"2685ff38",
-802 => x"7b765955",
-803 => x"937a2780",
-804 => x"c9387b70",
-805 => x"84055d08",
-806 => x"7c56760c",
-807 => x"74708405",
-808 => x"56088c18",
-809 => x"0c901758",
-810 => x"9b7a27ae",
-811 => x"38747084",
-812 => x"05560878",
-813 => x"0c747084",
-814 => x"05560894",
-815 => x"180c9817",
-816 => x"58a37a27",
-817 => x"95387470",
-818 => x"84055608",
-819 => x"780c7470",
-820 => x"84055608",
-821 => x"9c180ca0",
-822 => x"17587470",
-823 => x"84055608",
-824 => x"755f7870",
-825 => x"84055a0c",
-826 => x"777e7084",
-827 => x"05400871",
-828 => x"70840553",
-829 => x"0c7e0871",
-830 => x"0c5d787b",
-831 => x"3156758f",
-832 => x"2680c938",
-833 => x"84170881",
-834 => x"06790784",
-835 => x"180c7817",
-836 => x"84110881",
-837 => x"0784120c",
-838 => x"5b6151a7",
-839 => x"913f8817",
-840 => x"5473800c",
-841 => x"933d0d04",
-842 => x"905bfdb8",
-843 => x"397756fe",
-844 => x"83398c16",
-845 => x"08881708",
-846 => x"718c120c",
-847 => x"88120c58",
-848 => x"7e707c31",
-849 => x"57598f76",
-850 => x"27ffb938",
-851 => x"7a178418",
-852 => x"0881067c",
-853 => x"0784190c",
-854 => x"76810784",
-855 => x"120c7611",
-856 => x"84110881",
-857 => x"0784120c",
-858 => x"5b880552",
-859 => x"61518fda",
-860 => x"3f6151a6",
-861 => x"b93f8817",
-862 => x"54ffa639",
-863 => x"7d526151",
-864 => x"97d73f80",
-865 => x"085a8008",
-866 => x"802e81ab",
-867 => x"388008f8",
-868 => x"05608405",
-869 => x"08fe0661",
-870 => x"05585574",
-871 => x"772e83f2",
-872 => x"38fc1958",
-873 => x"77a42681",
-874 => x"b0387b80",
-875 => x"08565793",
-876 => x"782780dc",
-877 => x"387b7070",
-878 => x"84055208",
-879 => x"80087084",
-880 => x"05800c0c",
-881 => x"80087170",
-882 => x"84055308",
-883 => x"5d567b76",
-884 => x"70840558",
-885 => x"0c579b78",
-886 => x"27b63876",
-887 => x"70840558",
-888 => x"08757084",
-889 => x"05570c76",
-890 => x"70840558",
-891 => x"08757084",
-892 => x"05570ca3",
-893 => x"78279938",
-894 => x"76708405",
-895 => x"58087570",
-896 => x"8405570c",
-897 => x"76708405",
-898 => x"58087570",
-899 => x"8405570c",
-900 => x"76708405",
-901 => x"5808775e",
-902 => x"75708405",
-903 => x"570c747d",
-904 => x"7084055f",
-905 => x"08717084",
-906 => x"05530c7d",
-907 => x"08710c5f",
-908 => x"7b526151",
-909 => x"8e943f61",
-910 => x"51a4f33f",
-911 => x"79800c93",
-912 => x"3d0d047d",
-913 => x"52615196",
-914 => x"903f8008",
-915 => x"800c933d",
-916 => x"0d048416",
-917 => x"0855fbc9",
-918 => x"3977537b",
-919 => x"52800851",
-920 => x"a2a53f7b",
-921 => x"5261518d",
-922 => x"e13fcc39",
-923 => x"8c160888",
-924 => x"1708718c",
-925 => x"120c8812",
-926 => x"0c5d8c17",
-927 => x"08881808",
-928 => x"718c120c",
-929 => x"88120c59",
-930 => x"7759fbef",
-931 => x"39781890",
-932 => x"1c40557e",
-933 => x"7524fb9c",
-934 => x"387a1770",
-935 => x"80d7a80b",
-936 => x"88050c75",
-937 => x"7c318107",
-938 => x"84120c56",
-939 => x"84170881",
-940 => x"067b0784",
-941 => x"180c6151",
-942 => x"a3f43f88",
-943 => x"1754fce1",
-944 => x"39741819",
-945 => x"901c5e5a",
-946 => x"7c7a24fb",
-947 => x"8f388c17",
-948 => x"08881808",
-949 => x"718c120c",
-950 => x"88120c5e",
-951 => x"881761fc",
-952 => x"05575975",
-953 => x"a42681b6",
-954 => x"387b7959",
-955 => x"55937627",
-956 => x"80c9387b",
-957 => x"7084055d",
-958 => x"087c5679",
-959 => x"0c747084",
-960 => x"0556088c",
-961 => x"180c9017",
-962 => x"589b7627",
-963 => x"ae387470",
-964 => x"84055608",
-965 => x"780c7470",
-966 => x"84055608",
-967 => x"94180c98",
-968 => x"1758a376",
-969 => x"27953874",
-970 => x"70840556",
-971 => x"08780c74",
-972 => x"70840556",
-973 => x"089c180c",
-974 => x"a0175874",
-975 => x"70840556",
-976 => x"08754178",
-977 => x"7084055a",
-978 => x"0c776070",
-979 => x"84054208",
-980 => x"71708405",
-981 => x"530c6008",
-982 => x"710c5e7a",
-983 => x"177080d7",
-984 => x"a80b8805",
-985 => x"0c7a7c31",
-986 => x"81078412",
-987 => x"0c588417",
-988 => x"0881067b",
-989 => x"0784180c",
-990 => x"6151a2b2",
-991 => x"3f785473",
-992 => x"800c933d",
-993 => x"0d047953",
-994 => x"7b527551",
-995 => x"9ff93ffa",
-996 => x"e9398415",
-997 => x"08fc0619",
-998 => x"605859fa",
-999 => x"dd397553",
-1000 => x"7b527851",
-1001 => x"9fe13f7a",
-1002 => x"177080d7",
-1003 => x"a80b8805",
-1004 => x"0c7a7c31",
-1005 => x"81078412",
-1006 => x"0c588417",
-1007 => x"0881067b",
-1008 => x"0784180c",
-1009 => x"6151a1e6",
-1010 => x"3f7854ff",
-1011 => x"b239fa3d",
-1012 => x"0d7880cf",
-1013 => x"ec085455",
-1014 => x"b8130880",
-1015 => x"2e81af38",
-1016 => x"8c152270",
-1017 => x"83ffff06",
-1018 => x"70832a81",
-1019 => x"32810655",
-1020 => x"55567280",
-1021 => x"2e80da38",
-1022 => x"73842a81",
-1023 => x"32810657",
-1024 => x"ff537680",
-1025 => x"f2387382",
-1026 => x"2a810654",
-1027 => x"73802eb9",
-1028 => x"38b01508",
-1029 => x"5473802e",
-1030 => x"9c3880c0",
-1031 => x"15537373",
-1032 => x"2e8f3873",
-1033 => x"5280cfec",
-1034 => x"08518a9e",
-1035 => x"3f8c1522",
-1036 => x"5676b016",
-1037 => x"0c75db06",
-1038 => x"57768c16",
-1039 => x"23800b84",
-1040 => x"160c9015",
-1041 => x"08750c76",
-1042 => x"56758807",
-1043 => x"54738c16",
-1044 => x"23901508",
-1045 => x"802ebf38",
-1046 => x"8c152270",
-1047 => x"81065553",
-1048 => x"739c3872",
-1049 => x"0a100a81",
-1050 => x"06567585",
-1051 => x"38941508",
-1052 => x"54738816",
-1053 => x"0c805372",
-1054 => x"800c883d",
-1055 => x"0d04800b",
-1056 => x"88160c94",
-1057 => x"15083098",
-1058 => x"160c8053",
-1059 => x"ea397251",
-1060 => x"82a63ffe",
-1061 => x"cb397451",
-1062 => x"8fbc3f8c",
-1063 => x"15227081",
-1064 => x"06555373",
-1065 => x"802effbb",
-1066 => x"38d439f8",
-1067 => x"3d0d7a57",
-1068 => x"76802e81",
-1069 => x"973880cf",
-1070 => x"ec0854b8",
-1071 => x"1408802e",
-1072 => x"80eb388c",
-1073 => x"17227090",
-1074 => x"2b70902c",
-1075 => x"70832a81",
-1076 => x"3281065b",
-1077 => x"5b575577",
-1078 => x"80cb3890",
-1079 => x"17085675",
-1080 => x"802e80c1",
-1081 => x"38760876",
-1082 => x"3176780c",
-1083 => x"79830655",
-1084 => x"55738538",
-1085 => x"94170858",
-1086 => x"7788180c",
-1087 => x"807525a5",
-1088 => x"38745375",
-1089 => x"529c1708",
-1090 => x"51a41708",
-1091 => x"54732d80",
-1092 => x"0b800825",
-1093 => x"80c93880",
-1094 => x"08167580",
-1095 => x"08315656",
-1096 => x"748024dd",
-1097 => x"38800b80",
-1098 => x"0c8a3d0d",
-1099 => x"04735181",
-1100 => x"873f8c17",
-1101 => x"2270902b",
-1102 => x"70902c70",
-1103 => x"832a8132",
-1104 => x"81065b5b",
-1105 => x"575577dd",
-1106 => x"38ff9039",
-1107 => x"a1ab5280",
-1108 => x"cfec0851",
-1109 => x"8cd03f80",
-1110 => x"08800c8a",
-1111 => x"3d0d048c",
-1112 => x"172280c0",
-1113 => x"0758778c",
-1114 => x"1823ff0b",
-1115 => x"800c8a3d",
-1116 => x"0d04fa3d",
-1117 => x"0d797080",
-1118 => x"dc298c11",
-1119 => x"547a5356",
-1120 => x"578fd63f",
-1121 => x"80088008",
-1122 => x"55568008",
-1123 => x"802ea238",
-1124 => x"80088c05",
-1125 => x"54800b80",
-1126 => x"080c7680",
-1127 => x"0884050c",
-1128 => x"73800888",
-1129 => x"050c7453",
-1130 => x"80527351",
-1131 => x"9cf53f75",
-1132 => x"5473800c",
-1133 => x"883d0d04",
-1134 => x"70707074",
-1135 => x"a8f70bbc",
-1136 => x"120c5381",
-1137 => x"0bb8140c",
-1138 => x"800b84dc",
-1139 => x"140c830b",
-1140 => x"84e0140c",
-1141 => x"84e81384",
-1142 => x"e4140c84",
-1143 => x"13085180",
-1144 => x"70720c70",
-1145 => x"84130c70",
-1146 => x"88130c52",
-1147 => x"840b8c12",
-1148 => x"23718e12",
-1149 => x"23719012",
-1150 => x"0c719412",
-1151 => x"0c719812",
-1152 => x"0c709c12",
-1153 => x"0c80c1e6",
-1154 => x"0ba0120c",
-1155 => x"80c2b20b",
-1156 => x"a4120c80",
-1157 => x"c3ae0ba8",
-1158 => x"120c80c3",
-1159 => x"ff0bac12",
-1160 => x"0c881308",
-1161 => x"72710c72",
-1162 => x"84120c72",
-1163 => x"88120c51",
-1164 => x"890b8c12",
-1165 => x"23810b8e",
-1166 => x"12237190",
-1167 => x"120c7194",
-1168 => x"120c7198",
-1169 => x"120c709c",
-1170 => x"120c80c1",
-1171 => x"e60ba012",
-1172 => x"0c80c2b2",
-1173 => x"0ba4120c",
-1174 => x"80c3ae0b",
-1175 => x"a8120c80",
-1176 => x"c3ff0bac",
-1177 => x"120c8c13",
-1178 => x"0872710c",
-1179 => x"7284120c",
-1180 => x"7288120c",
-1181 => x"518a0b8c",
-1182 => x"1223820b",
-1183 => x"8e122371",
-1184 => x"90120c71",
-1185 => x"94120c71",
-1186 => x"98120c70",
-1187 => x"9c120c80",
-1188 => x"c1e60ba0",
-1189 => x"120c80c2",
-1190 => x"b20ba412",
-1191 => x"0c80c3ae",
-1192 => x"0ba8120c",
-1193 => x"80c3ff0b",
-1194 => x"ac120c50",
-1195 => x"505004f8",
-1196 => x"3d0d7a80",
-1197 => x"cfec08b8",
-1198 => x"11085757",
-1199 => x"587481ec",
-1200 => x"38a8f70b",
-1201 => x"bc170c81",
-1202 => x"0bb8170c",
-1203 => x"7484dc17",
-1204 => x"0c830b84",
-1205 => x"e0170c84",
-1206 => x"e81684e4",
-1207 => x"170c8416",
-1208 => x"0875710c",
-1209 => x"7584120c",
-1210 => x"7588120c",
-1211 => x"59840b8c",
-1212 => x"1a23748e",
-1213 => x"1a237490",
-1214 => x"1a0c7494",
-1215 => x"1a0c7498",
-1216 => x"1a0c789c",
-1217 => x"1a0c80c1",
-1218 => x"e60ba01a",
-1219 => x"0c80c2b2",
-1220 => x"0ba41a0c",
-1221 => x"80c3ae0b",
-1222 => x"a81a0c80",
-1223 => x"c3ff0bac",
-1224 => x"1a0c8816",
-1225 => x"0875710c",
-1226 => x"7584120c",
-1227 => x"7588120c",
-1228 => x"57890b8c",
-1229 => x"1823810b",
-1230 => x"8e182374",
-1231 => x"90180c74",
-1232 => x"94180c74",
-1233 => x"98180c76",
-1234 => x"9c180c80",
-1235 => x"c1e60ba0",
-1236 => x"180c80c2",
-1237 => x"b20ba418",
-1238 => x"0c80c3ae",
-1239 => x"0ba8180c",
-1240 => x"80c3ff0b",
-1241 => x"ac180c8c",
-1242 => x"16087571",
-1243 => x"0c758412",
-1244 => x"0c758812",
-1245 => x"0c548a0b",
-1246 => x"8c152382",
-1247 => x"0b8e1523",
-1248 => x"7490150c",
-1249 => x"7494150c",
-1250 => x"7498150c",
-1251 => x"739c150c",
-1252 => x"80c1e60b",
-1253 => x"a0150c80",
-1254 => x"c2b20ba4",
-1255 => x"150c80c3",
-1256 => x"ae0ba815",
-1257 => x"0c80c3ff",
-1258 => x"0bac150c",
-1259 => x"84dc1688",
-1260 => x"11088412",
-1261 => x"08ff0557",
-1262 => x"57578075",
-1263 => x"249f388c",
-1264 => x"16227090",
-1265 => x"2b70902c",
-1266 => x"51555973",
-1267 => x"802e80ed",
-1268 => x"3880dc16",
-1269 => x"ff165656",
-1270 => x"748025e3",
-1271 => x"38760855",
-1272 => x"74802e8f",
-1273 => x"38748811",
-1274 => x"08841208",
-1275 => x"ff055757",
-1276 => x"57c83982",
-1277 => x"fc527751",
-1278 => x"8adf3f80",
-1279 => x"08800855",
-1280 => x"56800880",
-1281 => x"2ea33880",
-1282 => x"088c0575",
-1283 => x"80080c54",
-1284 => x"840b8008",
-1285 => x"84050c73",
-1286 => x"80088805",
-1287 => x"0c82f053",
-1288 => x"74527351",
-1289 => x"97fd3f75",
-1290 => x"54737478",
-1291 => x"0c5573ff",
-1292 => x"b4388c78",
-1293 => x"0c800b80",
-1294 => x"0c8a3d0d",
-1295 => x"04810b8c",
-1296 => x"17237376",
-1297 => x"0c738817",
-1298 => x"0c738417",
-1299 => x"0c739017",
-1300 => x"0c739417",
-1301 => x"0c739817",
-1302 => x"0cff0b8e",
-1303 => x"172373b0",
-1304 => x"170c73b4",
-1305 => x"170c7380",
-1306 => x"c4170c73",
-1307 => x"80c8170c",
-1308 => x"75800c8a",
-1309 => x"3d0d0470",
-1310 => x"70a1ab52",
-1311 => x"735186a6",
-1312 => x"3f505004",
-1313 => x"7070a1ab",
-1314 => x"5280cfec",
-1315 => x"08518696",
-1316 => x"3f505004",
-1317 => x"fb3d0d77",
-1318 => x"70525698",
-1319 => x"903f80d7",
-1320 => x"a80b8805",
-1321 => x"08841108",
-1322 => x"fc06707b",
-1323 => x"319fef05",
-1324 => x"e08006e0",
-1325 => x"80055255",
-1326 => x"55a08075",
-1327 => x"24943880",
-1328 => x"52755197",
-1329 => x"ea3f80d7",
-1330 => x"b0081453",
-1331 => x"7280082e",
-1332 => x"8f387551",
-1333 => x"97d83f80",
-1334 => x"5372800c",
-1335 => x"873d0d04",
-1336 => x"74305275",
-1337 => x"5197c83f",
-1338 => x"8008ff2e",
-1339 => x"a83880d7",
-1340 => x"a80b8805",
-1341 => x"08747631",
-1342 => x"81078412",
-1343 => x"0c5380d6",
-1344 => x"ec087531",
-1345 => x"80d6ec0c",
-1346 => x"755197a2",
-1347 => x"3f810b80",
-1348 => x"0c873d0d",
-1349 => x"04805275",
-1350 => x"5197943f",
-1351 => x"80d7a80b",
-1352 => x"88050880",
-1353 => x"08713154",
-1354 => x"548f7325",
-1355 => x"ffa43880",
-1356 => x"0880d79c",
-1357 => x"083180d6",
-1358 => x"ec0c7281",
-1359 => x"0784150c",
-1360 => x"755196ea",
-1361 => x"3f8053ff",
-1362 => x"9039f73d",
-1363 => x"0d7b7d54",
-1364 => x"5a72802e",
-1365 => x"82833879",
-1366 => x"5196d23f",
-1367 => x"f8138411",
-1368 => x"0870fe06",
-1369 => x"70138411",
-1370 => x"08fc065c",
-1371 => x"57585457",
-1372 => x"80d7b008",
-1373 => x"742e82de",
-1374 => x"38778415",
-1375 => x"0c807381",
-1376 => x"06565974",
-1377 => x"792e81d5",
-1378 => x"38771484",
-1379 => x"11088106",
-1380 => x"565374a0",
-1381 => x"38771656",
-1382 => x"7881e638",
-1383 => x"88140855",
-1384 => x"7480d7b0",
-1385 => x"2e82f938",
-1386 => x"8c140870",
-1387 => x"8c170c75",
-1388 => x"88120c58",
-1389 => x"75810784",
-1390 => x"180c7517",
-1391 => x"76710c54",
-1392 => x"78819138",
-1393 => x"83ff7627",
-1394 => x"81c83875",
-1395 => x"892a7683",
-1396 => x"2a545473",
-1397 => x"802ebf38",
-1398 => x"75862ab8",
-1399 => x"05538474",
-1400 => x"27b43880",
-1401 => x"db145394",
-1402 => x"7427ab38",
-1403 => x"758c2a80",
-1404 => x"ee055380",
-1405 => x"d474279e",
-1406 => x"38758f2a",
-1407 => x"80f70553",
-1408 => x"82d47427",
-1409 => x"91387592",
-1410 => x"2a80fc05",
-1411 => x"538ad474",
-1412 => x"27843880",
-1413 => x"fe537210",
-1414 => x"101080d7",
-1415 => x"a8058811",
-1416 => x"08555573",
-1417 => x"752e82bf",
-1418 => x"38841408",
-1419 => x"fc065975",
-1420 => x"79278d38",
-1421 => x"88140854",
-1422 => x"73752e09",
-1423 => x"8106ea38",
-1424 => x"8c140870",
-1425 => x"8c190c74",
-1426 => x"88190c77",
-1427 => x"88120c55",
-1428 => x"768c150c",
-1429 => x"795194d6",
-1430 => x"3f8b3d0d",
-1431 => x"04760877",
-1432 => x"71315876",
-1433 => x"05881808",
-1434 => x"56567480",
-1435 => x"d7b02e80",
-1436 => x"e0388c17",
-1437 => x"08708c17",
-1438 => x"0c758812",
-1439 => x"0c53fe89",
-1440 => x"39881408",
-1441 => x"8c150870",
-1442 => x"8c130c59",
-1443 => x"88190cfe",
-1444 => x"a3397583",
-1445 => x"2a705454",
-1446 => x"80742481",
-1447 => x"98387282",
-1448 => x"2c81712b",
-1449 => x"80d7ac08",
-1450 => x"0780d7a8",
-1451 => x"0b84050c",
-1452 => x"74101010",
-1453 => x"80d7a805",
-1454 => x"88110871",
-1455 => x"8c1b0c70",
-1456 => x"881b0c79",
-1457 => x"88130c56",
-1458 => x"5a55768c",
-1459 => x"150cff84",
-1460 => x"398159fd",
-1461 => x"b4397716",
-1462 => x"73810654",
-1463 => x"55729838",
-1464 => x"76087771",
-1465 => x"31587505",
-1466 => x"8c180888",
-1467 => x"1908718c",
-1468 => x"120c8812",
-1469 => x"0c555574",
-1470 => x"81078418",
-1471 => x"0c7680d7",
-1472 => x"a80b8805",
-1473 => x"0c80d7a4",
-1474 => x"087526fe",
-1475 => x"c73880d7",
-1476 => x"a0085279",
-1477 => x"51fafd3f",
-1478 => x"79519392",
-1479 => x"3ffeba39",
-1480 => x"81778c17",
-1481 => x"0c778817",
-1482 => x"0c758c19",
-1483 => x"0c758819",
-1484 => x"0c59fd80",
-1485 => x"39831470",
-1486 => x"822c8171",
-1487 => x"2b80d7ac",
-1488 => x"080780d7",
-1489 => x"a80b8405",
-1490 => x"0c751010",
-1491 => x"1080d7a8",
-1492 => x"05881108",
-1493 => x"718c1c0c",
-1494 => x"70881c0c",
-1495 => x"7a88130c",
-1496 => x"575b5653",
-1497 => x"fee43980",
-1498 => x"7324a338",
-1499 => x"72822c81",
-1500 => x"712b80d7",
-1501 => x"ac080780",
-1502 => x"d7a80b84",
-1503 => x"050c5874",
-1504 => x"8c180c73",
-1505 => x"88180c76",
-1506 => x"88160cfd",
-1507 => x"c3398313",
-1508 => x"70822c81",
-1509 => x"712b80d7",
-1510 => x"ac080780",
-1511 => x"d7a80b84",
-1512 => x"050c5953",
-1513 => x"da39f93d",
-1514 => x"0d797b58",
-1515 => x"53800b80",
-1516 => x"cfec0853",
-1517 => x"5672722e",
-1518 => x"bc3884dc",
-1519 => x"13557476",
-1520 => x"2eb33888",
-1521 => x"15088416",
-1522 => x"08ff0554",
-1523 => x"54807324",
-1524 => x"99388c14",
-1525 => x"2270902b",
-1526 => x"53587180",
-1527 => x"d43880dc",
-1528 => x"14ff1454",
-1529 => x"54728025",
-1530 => x"e9387408",
-1531 => x"5574d438",
-1532 => x"80cfec08",
-1533 => x"5284dc12",
-1534 => x"5574802e",
-1535 => x"ad388815",
-1536 => x"08841608",
-1537 => x"ff055454",
-1538 => x"80732498",
-1539 => x"388c1422",
-1540 => x"70902b53",
-1541 => x"5871ad38",
-1542 => x"80dc14ff",
-1543 => x"14545472",
-1544 => x"8025ea38",
-1545 => x"74085574",
-1546 => x"d5387580",
-1547 => x"0c893d0d",
-1548 => x"04735176",
-1549 => x"2d758008",
-1550 => x"0780dc15",
-1551 => x"ff155555",
-1552 => x"56ffa239",
-1553 => x"7351762d",
-1554 => x"75800807",
-1555 => x"80dc15ff",
-1556 => x"15555556",
-1557 => x"ca39ea3d",
-1558 => x"0d688c11",
-1559 => x"22700a10",
-1560 => x"0a810657",
-1561 => x"58567480",
-1562 => x"e4388e16",
-1563 => x"2270902b",
-1564 => x"70902c51",
-1565 => x"55588074",
-1566 => x"24b13898",
-1567 => x"3dc40553",
-1568 => x"735280cf",
-1569 => x"ec085194",
-1570 => x"813f800b",
-1571 => x"80082497",
-1572 => x"387983e0",
-1573 => x"80065473",
-1574 => x"80c0802e",
-1575 => x"818f3873",
-1576 => x"8280802e",
-1577 => x"8191388c",
-1578 => x"16225776",
-1579 => x"90800754",
-1580 => x"738c1723",
-1581 => x"88805280",
-1582 => x"cfec0851",
-1583 => x"819b3f80",
-1584 => x"089d388c",
-1585 => x"16228207",
-1586 => x"55748c17",
-1587 => x"2380c316",
-1588 => x"70770c90",
-1589 => x"170c810b",
-1590 => x"94170c98",
-1591 => x"3d0d0480",
-1592 => x"cfec08a8",
-1593 => x"f70bbc12",
-1594 => x"0c588c16",
-1595 => x"22818007",
-1596 => x"54738c17",
-1597 => x"23800876",
-1598 => x"0c800890",
-1599 => x"170c8880",
-1600 => x"0b94170c",
-1601 => x"74802ed3",
-1602 => x"388e1622",
-1603 => x"70902b70",
-1604 => x"902c5356",
-1605 => x"549afb3f",
-1606 => x"8008802e",
-1607 => x"ffbd388c",
-1608 => x"16228107",
-1609 => x"57768c17",
-1610 => x"23983d0d",
-1611 => x"04810b8c",
-1612 => x"17225855",
-1613 => x"fef539a8",
-1614 => x"160880c3",
-1615 => x"ae2e0981",
-1616 => x"06fee438",
-1617 => x"8c162288",
-1618 => x"80075473",
-1619 => x"8c172388",
-1620 => x"800b80cc",
-1621 => x"170cfedc",
-1622 => x"39f43d0d",
-1623 => x"7e608b11",
-1624 => x"70f8065b",
-1625 => x"55555d72",
-1626 => x"96268338",
-1627 => x"90588078",
-1628 => x"24747926",
-1629 => x"07558054",
-1630 => x"74742e09",
-1631 => x"810680ca",
-1632 => x"387c518e",
-1633 => x"a83f7783",
-1634 => x"f72680c5",
-1635 => x"3877832a",
-1636 => x"70101010",
-1637 => x"80d7a805",
-1638 => x"8c110858",
-1639 => x"58547577",
-1640 => x"2e81f038",
-1641 => x"841608fc",
-1642 => x"068c1708",
-1643 => x"88180871",
-1644 => x"8c120c88",
-1645 => x"120c5b76",
-1646 => x"05841108",
-1647 => x"81078412",
-1648 => x"0c537c51",
-1649 => x"8de83f88",
-1650 => x"16547380",
-1651 => x"0c8e3d0d",
-1652 => x"0477892a",
-1653 => x"78832a58",
-1654 => x"5473802e",
-1655 => x"bf387786",
-1656 => x"2ab80557",
-1657 => x"847427b4",
-1658 => x"3880db14",
-1659 => x"57947427",
-1660 => x"ab38778c",
-1661 => x"2a80ee05",
-1662 => x"5780d474",
-1663 => x"279e3877",
-1664 => x"8f2a80f7",
-1665 => x"055782d4",
-1666 => x"74279138",
-1667 => x"77922a80",
-1668 => x"fc05578a",
-1669 => x"d4742784",
-1670 => x"3880fe57",
-1671 => x"76101010",
-1672 => x"80d7a805",
-1673 => x"8c110856",
-1674 => x"5374732e",
-1675 => x"a3388415",
-1676 => x"08fc0670",
-1677 => x"79315556",
-1678 => x"738f2488",
-1679 => x"e4387380",
-1680 => x"2588e638",
-1681 => x"8c150855",
-1682 => x"74732e09",
-1683 => x"8106df38",
-1684 => x"81175980",
-1685 => x"d7b80856",
-1686 => x"7580d7b0",
-1687 => x"2e82cc38",
-1688 => x"841608fc",
-1689 => x"06707931",
-1690 => x"5555738f",
-1691 => x"24bb3880",
-1692 => x"d7b00b80",
-1693 => x"d7bc0c80",
-1694 => x"d7b00b80",
-1695 => x"d7b80c80",
-1696 => x"742480db",
-1697 => x"38741684",
-1698 => x"11088107",
-1699 => x"84120c53",
-1700 => x"feb03988",
-1701 => x"168c1108",
-1702 => x"57597579",
-1703 => x"2e098106",
-1704 => x"fe823882",
-1705 => x"1459ffab",
-1706 => x"39771678",
-1707 => x"81078418",
-1708 => x"0c7080d7",
-1709 => x"bc0c7080",
-1710 => x"d7b80c80",
-1711 => x"d7b00b8c",
-1712 => x"120c8c11",
-1713 => x"0888120c",
-1714 => x"74810784",
-1715 => x"120c7405",
-1716 => x"74710c5b",
-1717 => x"7c518bd6",
-1718 => x"3f881654",
-1719 => x"fdec3983",
-1720 => x"ff752783",
-1721 => x"91387489",
-1722 => x"2a75832a",
-1723 => x"54547380",
-1724 => x"2ebf3874",
-1725 => x"862ab805",
-1726 => x"53847427",
-1727 => x"b43880db",
-1728 => x"14539474",
-1729 => x"27ab3874",
-1730 => x"8c2a80ee",
-1731 => x"055380d4",
-1732 => x"74279e38",
-1733 => x"748f2a80",
-1734 => x"f7055382",
-1735 => x"d4742791",
-1736 => x"3874922a",
-1737 => x"80fc0553",
-1738 => x"8ad47427",
-1739 => x"843880fe",
-1740 => x"53721010",
-1741 => x"1080d7a8",
-1742 => x"05881108",
-1743 => x"55577377",
-1744 => x"2e868b38",
-1745 => x"841408fc",
-1746 => x"065b747b",
-1747 => x"278d3888",
-1748 => x"14085473",
-1749 => x"772e0981",
-1750 => x"06ea388c",
-1751 => x"140880d7",
-1752 => x"a80b8405",
-1753 => x"08718c19",
-1754 => x"0c758819",
-1755 => x"0c778813",
-1756 => x"0c5c5775",
-1757 => x"8c150c78",
-1758 => x"53807924",
-1759 => x"83983872",
-1760 => x"822c8171",
-1761 => x"2b565674",
-1762 => x"7b2680ca",
-1763 => x"387a7506",
-1764 => x"577682a3",
-1765 => x"3878fc06",
-1766 => x"84055974",
-1767 => x"10707c06",
-1768 => x"55557382",
-1769 => x"92388419",
-1770 => x"59f13980",
-1771 => x"d7a80b84",
-1772 => x"05087954",
-1773 => x"5b788025",
-1774 => x"c63882da",
-1775 => x"3974097b",
-1776 => x"067080d7",
-1777 => x"a80b8405",
-1778 => x"0c5b7410",
-1779 => x"55747b26",
-1780 => x"85387485",
-1781 => x"bc3880d7",
-1782 => x"a80b8805",
-1783 => x"08708412",
-1784 => x"08fc0670",
-1785 => x"7b317b72",
-1786 => x"268f7225",
-1787 => x"075d575c",
-1788 => x"5c557880",
-1789 => x"2e80d938",
-1790 => x"791580d7",
-1791 => x"a0081990",
-1792 => x"11595456",
-1793 => x"80d79c08",
-1794 => x"ff2e8838",
-1795 => x"a08f13e0",
-1796 => x"80065776",
-1797 => x"527c5189",
-1798 => x"963f8008",
-1799 => x"548008ff",
-1800 => x"2e903880",
-1801 => x"08762782",
-1802 => x"a7387480",
-1803 => x"d7a82e82",
-1804 => x"9f3880d7",
-1805 => x"a80b8805",
-1806 => x"08558415",
-1807 => x"08fc0670",
-1808 => x"79317972",
-1809 => x"268f7225",
-1810 => x"075d555a",
-1811 => x"7a83f238",
-1812 => x"77810784",
-1813 => x"160c7715",
-1814 => x"7080d7a8",
-1815 => x"0b88050c",
-1816 => x"74810784",
-1817 => x"120c567c",
-1818 => x"5188c33f",
-1819 => x"88155473",
-1820 => x"800c8e3d",
-1821 => x"0d047483",
-1822 => x"2a705454",
-1823 => x"80742481",
-1824 => x"9b387282",
-1825 => x"2c81712b",
-1826 => x"80d7ac08",
-1827 => x"077080d7",
-1828 => x"a80b8405",
-1829 => x"0c751010",
-1830 => x"1080d7a8",
-1831 => x"05881108",
-1832 => x"718c1b0c",
-1833 => x"70881b0c",
-1834 => x"7988130c",
-1835 => x"57555c55",
-1836 => x"758c150c",
-1837 => x"fdc13978",
-1838 => x"79101010",
-1839 => x"80d7a805",
-1840 => x"70565b5c",
-1841 => x"8c140856",
-1842 => x"75742ea3",
-1843 => x"38841608",
-1844 => x"fc067079",
-1845 => x"31585376",
-1846 => x"8f2483f1",
-1847 => x"38768025",
-1848 => x"84af388c",
-1849 => x"16085675",
-1850 => x"742e0981",
-1851 => x"06df3888",
-1852 => x"14811a70",
-1853 => x"8306555a",
-1854 => x"5472c938",
-1855 => x"7b830656",
-1856 => x"75802efd",
-1857 => x"b838ff1c",
-1858 => x"f81b5b5c",
-1859 => x"881a087a",
-1860 => x"2eea38fd",
-1861 => x"b5398319",
-1862 => x"53fce439",
-1863 => x"83147082",
-1864 => x"2c81712b",
-1865 => x"80d7ac08",
-1866 => x"077080d7",
-1867 => x"a80b8405",
-1868 => x"0c761010",
-1869 => x"1080d7a8",
-1870 => x"05881108",
-1871 => x"718c1c0c",
-1872 => x"70881c0c",
-1873 => x"7a88130c",
-1874 => x"58535d56",
-1875 => x"53fee139",
-1876 => x"80d6ec08",
-1877 => x"17598008",
-1878 => x"762e818b",
-1879 => x"3880d79c",
-1880 => x"08ff2e84",
-1881 => x"8e387376",
-1882 => x"311980d6",
-1883 => x"ec0c7387",
-1884 => x"06705653",
-1885 => x"72802e88",
-1886 => x"38887331",
-1887 => x"70155555",
-1888 => x"76149fff",
-1889 => x"06a08071",
-1890 => x"31167054",
-1891 => x"7e535153",
-1892 => x"869d3f80",
-1893 => x"08568008",
-1894 => x"ff2e819e",
-1895 => x"3880d6ec",
-1896 => x"08137080",
-1897 => x"d6ec0c74",
-1898 => x"7580d7a8",
-1899 => x"0b88050c",
-1900 => x"77763115",
-1901 => x"81075556",
-1902 => x"597a80d7",
-1903 => x"a82e83c0",
-1904 => x"38798f26",
-1905 => x"82ef3881",
-1906 => x"0b84150c",
-1907 => x"841508fc",
-1908 => x"06707931",
-1909 => x"7972268f",
-1910 => x"7225075d",
-1911 => x"555a7a80",
-1912 => x"2efced38",
-1913 => x"80db3980",
-1914 => x"089fff06",
-1915 => x"5574feed",
-1916 => x"387880d6",
-1917 => x"ec0c80d7",
-1918 => x"a80b8805",
-1919 => x"087a1881",
-1920 => x"0784120c",
-1921 => x"5580d798",
-1922 => x"08792786",
-1923 => x"387880d7",
-1924 => x"980c80d7",
-1925 => x"94087927",
-1926 => x"fca03878",
-1927 => x"80d7940c",
-1928 => x"841508fc",
-1929 => x"06707931",
-1930 => x"7972268f",
-1931 => x"7225075d",
-1932 => x"555a7a80",
-1933 => x"2efc9938",
-1934 => x"88398074",
-1935 => x"5753fedd",
-1936 => x"397c5184",
-1937 => x"e93f800b",
-1938 => x"800c8e3d",
-1939 => x"0d048073",
-1940 => x"24a53872",
-1941 => x"822c8171",
-1942 => x"2b80d7ac",
-1943 => x"08077080",
-1944 => x"d7a80b84",
-1945 => x"050c5c5a",
-1946 => x"768c170c",
-1947 => x"7388170c",
-1948 => x"7588180c",
-1949 => x"f9fd3983",
-1950 => x"1370822c",
-1951 => x"81712b80",
-1952 => x"d7ac0807",
-1953 => x"7080d7a8",
-1954 => x"0b84050c",
-1955 => x"5d5b53d8",
-1956 => x"397a7506",
-1957 => x"5c7bfc9f",
-1958 => x"38841975",
-1959 => x"105659f1",
-1960 => x"39ff1781",
-1961 => x"0559f7ab",
-1962 => x"398c1508",
-1963 => x"88160871",
-1964 => x"8c120c88",
-1965 => x"120c5975",
-1966 => x"15841108",
-1967 => x"81078412",
-1968 => x"0c587c51",
-1969 => x"83e83f88",
-1970 => x"1554fba3",
-1971 => x"39771678",
-1972 => x"81078418",
-1973 => x"0c8c1708",
-1974 => x"88180871",
-1975 => x"8c120c88",
-1976 => x"120c5c70",
-1977 => x"80d7bc0c",
-1978 => x"7080d7b8",
-1979 => x"0c80d7b0",
-1980 => x"0b8c120c",
-1981 => x"8c110888",
-1982 => x"120c7781",
-1983 => x"0784120c",
-1984 => x"77057771",
-1985 => x"0c557c51",
-1986 => x"83a43f88",
-1987 => x"1654f5ba",
-1988 => x"39721684",
-1989 => x"11088107",
-1990 => x"84120c58",
-1991 => x"8c160888",
-1992 => x"1708718c",
-1993 => x"120c8812",
-1994 => x"0c577c51",
-1995 => x"83803f88",
-1996 => x"1654f596",
-1997 => x"39728415",
-1998 => x"0cf41af8",
-1999 => x"0670841d",
-2000 => x"08810607",
-2001 => x"841d0c70",
-2002 => x"1c555685",
-2003 => x"0b84150c",
-2004 => x"850b8815",
-2005 => x"0c8f7627",
-2006 => x"fdab3888",
-2007 => x"1b527c51",
-2008 => x"ebe83f80",
-2009 => x"d7a80b88",
-2010 => x"050880d6",
-2011 => x"ec085a55",
-2012 => x"fd933978",
-2013 => x"80d6ec0c",
-2014 => x"7380d79c",
-2015 => x"0cfbef39",
-2016 => x"7284150c",
-2017 => x"fcff39fb",
-2018 => x"3d0d7770",
-2019 => x"7a7c5855",
-2020 => x"53568f75",
-2021 => x"2780e638",
-2022 => x"72760783",
-2023 => x"06517080",
-2024 => x"dc387573",
-2025 => x"52547070",
-2026 => x"84055208",
-2027 => x"74708405",
-2028 => x"560c7371",
-2029 => x"70840553",
-2030 => x"08717084",
-2031 => x"05530c71",
-2032 => x"70840553",
-2033 => x"08717084",
-2034 => x"05530c71",
-2035 => x"70840553",
-2036 => x"08717084",
-2037 => x"05530cf0",
-2038 => x"16565474",
-2039 => x"8f26c738",
-2040 => x"83752795",
-2041 => x"38707084",
-2042 => x"05520874",
-2043 => x"70840556",
-2044 => x"0cfc1555",
-2045 => x"748326ed",
-2046 => x"38737154",
-2047 => x"52ff1551",
-2048 => x"70ff2e98",
-2049 => x"38727081",
-2050 => x"05543372",
-2051 => x"70810554",
-2052 => x"34ff1151",
-2053 => x"70ff2e09",
-2054 => x"8106ea38",
-2055 => x"75800c87",
-2056 => x"3d0d04fb",
-2057 => x"3d0d777a",
-2058 => x"71028c05",
-2059 => x"a3053358",
-2060 => x"54545683",
-2061 => x"732780d4",
-2062 => x"38758306",
-2063 => x"517080cc",
-2064 => x"3874882b",
-2065 => x"75077071",
-2066 => x"902b0755",
-2067 => x"518f7327",
-2068 => x"a7387372",
-2069 => x"70840554",
-2070 => x"0c717471",
-2071 => x"70840553",
-2072 => x"0c747170",
-2073 => x"8405530c",
-2074 => x"74717084",
-2075 => x"05530cf0",
-2076 => x"14545272",
-2077 => x"8f26db38",
-2078 => x"83732790",
-2079 => x"38737270",
-2080 => x"8405540c",
-2081 => x"fc135372",
-2082 => x"8326f238",
-2083 => x"ff135170",
-2084 => x"ff2e9338",
-2085 => x"74727081",
-2086 => x"055434ff",
-2087 => x"115170ff",
-2088 => x"2e098106",
-2089 => x"ef387580",
-2090 => x"0c873d0d",
-2091 => x"04040470",
-2092 => x"70707080",
-2093 => x"0b80dfe4",
-2094 => x"0c765184",
-2095 => x"f33f8008",
-2096 => x"538008ff",
-2097 => x"2e893872",
-2098 => x"800c5050",
-2099 => x"50500480",
-2100 => x"dfe40854",
-2101 => x"73802eef",
-2102 => x"38757471",
-2103 => x"0c527280",
-2104 => x"0c505050",
-2105 => x"5004f93d",
-2106 => x"0d797c55",
-2107 => x"7b548e11",
-2108 => x"2270902b",
-2109 => x"70902c55",
-2110 => x"5780cfec",
-2111 => x"08535856",
-2112 => x"83f63f80",
-2113 => x"0857800b",
-2114 => x"80082493",
-2115 => x"3880d016",
-2116 => x"08800805",
-2117 => x"80d0170c",
-2118 => x"76800c89",
-2119 => x"3d0d048c",
-2120 => x"162283df",
-2121 => x"ff065574",
-2122 => x"8c172376",
-2123 => x"800c893d",
-2124 => x"0d04fa3d",
-2125 => x"0d788c11",
-2126 => x"2270882a",
-2127 => x"70810651",
-2128 => x"57585674",
-2129 => x"a9388c16",
-2130 => x"2283dfff",
-2131 => x"0655748c",
-2132 => x"17237a54",
-2133 => x"79538e16",
-2134 => x"2270902b",
-2135 => x"70902c54",
-2136 => x"5680cfec",
-2137 => x"08525681",
-2138 => x"b23f883d",
-2139 => x"0d048254",
-2140 => x"80538e16",
-2141 => x"2270902b",
-2142 => x"70902c54",
-2143 => x"5680cfec",
-2144 => x"08525782",
-2145 => x"bb3f8c16",
-2146 => x"2283dfff",
-2147 => x"0655748c",
-2148 => x"17237a54",
-2149 => x"79538e16",
-2150 => x"2270902b",
-2151 => x"70902c54",
-2152 => x"5680cfec",
-2153 => x"08525680",
-2154 => x"f23f883d",
-2155 => x"0d04f93d",
-2156 => x"0d797c55",
-2157 => x"7b548e11",
-2158 => x"2270902b",
-2159 => x"70902c55",
-2160 => x"5780cfec",
-2161 => x"08535856",
-2162 => x"81f63f80",
-2163 => x"08578008",
-2164 => x"ff2e9938",
-2165 => x"8c1622a0",
-2166 => x"80075574",
-2167 => x"8c172380",
-2168 => x"0880d017",
-2169 => x"0c76800c",
-2170 => x"893d0d04",
-2171 => x"8c162283",
-2172 => x"dfff0655",
-2173 => x"748c1723",
-2174 => x"76800c89",
-2175 => x"3d0d0470",
-2176 => x"7070748e",
-2177 => x"11227090",
-2178 => x"2b70902c",
-2179 => x"55515153",
-2180 => x"80cfec08",
-2181 => x"51bd3f50",
-2182 => x"505004fb",
-2183 => x"3d0d800b",
-2184 => x"80dfe40c",
-2185 => x"7a537952",
-2186 => x"785182fc",
-2187 => x"3f800855",
-2188 => x"8008ff2e",
-2189 => x"88387480",
-2190 => x"0c873d0d",
-2191 => x"0480dfe4",
-2192 => x"08567580",
-2193 => x"2ef03877",
-2194 => x"76710c54",
-2195 => x"74800c87",
-2196 => x"3d0d0470",
-2197 => x"70707080",
-2198 => x"0b80dfe4",
-2199 => x"0c765184",
-2200 => x"c93f8008",
-2201 => x"538008ff",
-2202 => x"2e893872",
-2203 => x"800c5050",
-2204 => x"50500480",
-2205 => x"dfe40854",
-2206 => x"73802eef",
-2207 => x"38757471",
-2208 => x"0c527280",
-2209 => x"0c505050",
-2210 => x"5004fc3d",
-2211 => x"0d800b80",
-2212 => x"dfe40c78",
-2213 => x"52775187",
-2214 => x"b03f8008",
-2215 => x"548008ff",
-2216 => x"2e883873",
-2217 => x"800c863d",
-2218 => x"0d0480df",
-2219 => x"e4085574",
-2220 => x"802ef038",
-2221 => x"7675710c",
-2222 => x"5373800c",
-2223 => x"863d0d04",
-2224 => x"fb3d0d80",
-2225 => x"0b80dfe4",
-2226 => x"0c7a5379",
-2227 => x"52785184",
-2228 => x"8b3f8008",
-2229 => x"558008ff",
-2230 => x"2e883874",
-2231 => x"800c873d",
-2232 => x"0d0480df",
-2233 => x"e4085675",
-2234 => x"802ef038",
-2235 => x"7776710c",
-2236 => x"5474800c",
-2237 => x"873d0d04",
-2238 => x"fb3d0d80",
-2239 => x"0b80dfe4",
-2240 => x"0c7a5379",
-2241 => x"52785182",
-2242 => x"933f8008",
-2243 => x"558008ff",
-2244 => x"2e883874",
-2245 => x"800c873d",
-2246 => x"0d0480df",
-2247 => x"e4085675",
-2248 => x"802ef038",
-2249 => x"7776710c",
-2250 => x"5474800c",
-2251 => x"873d0d04",
-2252 => x"70707080",
-2253 => x"dfd80889",
-2254 => x"3880dfe8",
-2255 => x"0b80dfd8",
-2256 => x"0c80dfd8",
-2257 => x"08751152",
-2258 => x"52ff5370",
-2259 => x"87fb8080",
-2260 => x"26883870",
-2261 => x"80dfd80c",
-2262 => x"71537280",
-2263 => x"0c505050",
-2264 => x"04fd3d0d",
-2265 => x"800b80cf",
-2266 => x"e0085454",
-2267 => x"72812e9b",
-2268 => x"387380df",
-2269 => x"dc0cc2ae",
-2270 => x"3fc1853f",
-2271 => x"80dfb052",
-2272 => x"8151c3f7",
-2273 => x"3f800851",
-2274 => x"86bf3f72",
-2275 => x"80dfdc0c",
-2276 => x"c2943fc0",
-2277 => x"eb3f80df",
-2278 => x"b0528151",
-2279 => x"c3dd3f80",
-2280 => x"085186a5",
-2281 => x"3f00ff39",
-2282 => x"f53d0d7e",
-2283 => x"6080dfdc",
-2284 => x"08705b58",
-2285 => x"5b5b7580",
-2286 => x"c238777a",
-2287 => x"25a13877",
-2288 => x"1b703370",
-2289 => x"81ff0658",
-2290 => x"5859758a",
-2291 => x"2e983876",
-2292 => x"81ff0651",
-2293 => x"c1af3f81",
-2294 => x"18587978",
-2295 => x"24e13879",
-2296 => x"800c8d3d",
-2297 => x"0d048d51",
-2298 => x"c19b3f78",
-2299 => x"337081ff",
-2300 => x"065257c1",
-2301 => x"903f8118",
-2302 => x"58e03979",
-2303 => x"557a547d",
-2304 => x"5385528d",
-2305 => x"3dfc0551",
-2306 => x"c0b83f80",
-2307 => x"085685b2",
-2308 => x"3f7b8008",
-2309 => x"0c75800c",
-2310 => x"8d3d0d04",
-2311 => x"f63d0d7d",
-2312 => x"7f80dfdc",
-2313 => x"08705b58",
-2314 => x"5a5a7580",
-2315 => x"c1387779",
-2316 => x"25b338c0",
-2317 => x"ab3f8008",
-2318 => x"81ff0670",
-2319 => x"8d327030",
-2320 => x"709f2a51",
-2321 => x"51575776",
-2322 => x"8a2e80c4",
-2323 => x"3875802e",
-2324 => x"bf38771a",
-2325 => x"56767634",
-2326 => x"7651c0a9",
-2327 => x"3f811858",
-2328 => x"787824cf",
-2329 => x"38775675",
-2330 => x"800c8c3d",
-2331 => x"0d047855",
-2332 => x"79547c53",
-2333 => x"84528c3d",
-2334 => x"fc0551ff",
-2335 => x"bfc43f80",
-2336 => x"085684be",
-2337 => x"3f7a8008",
-2338 => x"0c75800c",
-2339 => x"8c3d0d04",
-2340 => x"771a598a",
-2341 => x"79348118",
-2342 => x"588d51ff",
-2343 => x"bfe73f8a",
-2344 => x"51ffbfe1",
-2345 => x"3f7756ff",
-2346 => x"be39fb3d",
-2347 => x"0d80dfdc",
-2348 => x"08705654",
-2349 => x"73883874",
-2350 => x"800c873d",
-2351 => x"0d047753",
-2352 => x"8352873d",
-2353 => x"fc0551ff",
-2354 => x"bef83f80",
-2355 => x"085483f2",
-2356 => x"3f758008",
-2357 => x"0c73800c",
-2358 => x"873d0d04",
-2359 => x"fa3d0d80",
-2360 => x"dfdc0880",
-2361 => x"2ea3387a",
-2362 => x"55795478",
-2363 => x"53865288",
-2364 => x"3dfc0551",
-2365 => x"ffbecb3f",
-2366 => x"80085683",
-2367 => x"c53f7680",
-2368 => x"080c7580",
-2369 => x"0c883d0d",
-2370 => x"0483b73f",
-2371 => x"9d0b8008",
-2372 => x"0cff0b80",
-2373 => x"0c883d0d",
-2374 => x"04f73d0d",
-2375 => x"7b7d5b59",
-2376 => x"bc538052",
-2377 => x"7951f5fb",
-2378 => x"3f807056",
-2379 => x"57985674",
-2380 => x"19703370",
-2381 => x"782b7907",
-2382 => x"8118f81a",
-2383 => x"5a585955",
-2384 => x"58847524",
-2385 => x"ea38767a",
-2386 => x"23841958",
-2387 => x"80705657",
-2388 => x"98567418",
-2389 => x"70337078",
-2390 => x"2b790781",
-2391 => x"18f81a5a",
-2392 => x"58595154",
-2393 => x"847524ea",
-2394 => x"3876821b",
-2395 => x"23881958",
-2396 => x"80705657",
-2397 => x"98567418",
-2398 => x"70337078",
-2399 => x"2b790781",
-2400 => x"18f81a5a",
-2401 => x"58595154",
-2402 => x"847524ea",
-2403 => x"3876841b",
-2404 => x"0c8c1958",
-2405 => x"80705657",
-2406 => x"98567418",
-2407 => x"70337078",
-2408 => x"2b790781",
-2409 => x"18f81a5a",
-2410 => x"58595154",
-2411 => x"847524ea",
-2412 => x"3876881b",
-2413 => x"23901958",
-2414 => x"80705657",
-2415 => x"98567418",
-2416 => x"70337078",
-2417 => x"2b790781",
-2418 => x"18f81a5a",
-2419 => x"58595154",
-2420 => x"847524ea",
-2421 => x"38768a1b",
-2422 => x"23941958",
-2423 => x"80705657",
-2424 => x"98567418",
-2425 => x"70337078",
-2426 => x"2b790781",
-2427 => x"18f81a5a",
-2428 => x"58595154",
-2429 => x"847524ea",
-2430 => x"38768c1b",
-2431 => x"23981958",
-2432 => x"80705657",
-2433 => x"98567418",
-2434 => x"70337078",
-2435 => x"2b790781",
-2436 => x"18f81a5a",
-2437 => x"58595154",
-2438 => x"847524ea",
-2439 => x"38768e1b",
-2440 => x"239c1958",
-2441 => x"80705657",
-2442 => x"b8567418",
-2443 => x"70337078",
-2444 => x"2b790781",
-2445 => x"18f81a5a",
-2446 => x"58595a54",
-2447 => x"887524ea",
-2448 => x"3876901b",
-2449 => x"0c8b3d0d",
-2450 => x"04e93d0d",
-2451 => x"6a80dfdc",
-2452 => x"08575775",
-2453 => x"933880c0",
-2454 => x"800b8418",
-2455 => x"0c75ac18",
-2456 => x"0c75800c",
-2457 => x"993d0d04",
-2458 => x"893d7055",
-2459 => x"6a54558a",
-2460 => x"52993dff",
-2461 => x"bc0551ff",
-2462 => x"bbc83f80",
-2463 => x"08775375",
-2464 => x"5256fd95",
-2465 => x"3fbc3f77",
-2466 => x"80080c75",
-2467 => x"800c993d",
-2468 => x"0d04fc3d",
-2469 => x"0d815480",
-2470 => x"dfdc0888",
-2471 => x"3873800c",
-2472 => x"863d0d04",
-2473 => x"765397b9",
-2474 => x"52863dfc",
-2475 => x"0551ffbb",
-2476 => x"913f8008",
-2477 => x"548c3f74",
-2478 => x"80080c73",
-2479 => x"800c863d",
-2480 => x"0d0480cf",
-2481 => x"ec08800c",
-2482 => x"04f73d0d",
-2483 => x"7b80cfec",
-2484 => x"0882c811",
-2485 => x"085a545a",
-2486 => x"77802e80",
-2487 => x"da388188",
-2488 => x"18841908",
-2489 => x"ff058171",
-2490 => x"2b595559",
-2491 => x"80742480",
-2492 => x"ea388074",
-2493 => x"24b53873",
-2494 => x"822b7811",
-2495 => x"88055656",
-2496 => x"81801908",
-2497 => x"77065372",
-2498 => x"802eb638",
-2499 => x"78167008",
-2500 => x"53537951",
-2501 => x"74085372",
-2502 => x"2dff14fc",
-2503 => x"17fc1779",
-2504 => x"812c5a57",
-2505 => x"57547380",
-2506 => x"25d63877",
-2507 => x"085877ff",
-2508 => x"ad3880cf",
-2509 => x"ec0853bc",
-2510 => x"1308a538",
-2511 => x"7951f8e5",
-2512 => x"3f740853",
-2513 => x"722dff14",
-2514 => x"fc17fc17",
-2515 => x"79812c5a",
-2516 => x"57575473",
-2517 => x"8025ffa8",
-2518 => x"38d13980",
-2519 => x"57ff9339",
-2520 => x"7251bc13",
-2521 => x"0854732d",
-2522 => x"7951f8b9",
-2523 => x"3f707080",
-2524 => x"dfb80bfc",
-2525 => x"05700852",
-2526 => x"5270ff2e",
-2527 => x"9138702d",
-2528 => x"fc127008",
-2529 => x"525270ff",
-2530 => x"2e098106",
-2531 => x"f1385050",
-2532 => x"0404ffba",
-2533 => x"fe3f0400",
+348 => x"803d0d80",
+349 => x"dfe00881",
+350 => x"1180dfe0",
+351 => x"0c51823d",
+352 => x"0d04fe3d",
+353 => x"0d80dfe0",
+354 => x"085380df",
+355 => x"e0085272",
+356 => x"722e8f38",
+357 => x"80cf9c51",
+358 => x"82b03f80",
+359 => x"dfe00853",
+360 => x"e93980cf",
+361 => x"ac5182a2",
+362 => x"3fe039fb",
+363 => x"3d0d7779",
+364 => x"55558056",
+365 => x"757524ab",
+366 => x"38807424",
+367 => x"9d388053",
+368 => x"73527451",
+369 => x"80e13f80",
+370 => x"08547580",
+371 => x"2e853880",
+372 => x"08305473",
+373 => x"800c873d",
+374 => x"0d047330",
+375 => x"76813257",
+376 => x"54dc3974",
+377 => x"30558156",
+378 => x"738025d2",
+379 => x"38ec39fa",
+380 => x"3d0d787a",
+381 => x"57558057",
+382 => x"767524a4",
+383 => x"38759f2c",
+384 => x"54815375",
+385 => x"74327431",
+386 => x"5274519b",
+387 => x"3f800854",
+388 => x"76802e85",
+389 => x"38800830",
+390 => x"5473800c",
+391 => x"883d0d04",
+392 => x"74305581",
+393 => x"57d739fc",
+394 => x"3d0d7678",
+395 => x"53548153",
+396 => x"80747326",
+397 => x"52557280",
+398 => x"2e983870",
+399 => x"802eab38",
+400 => x"807224a6",
+401 => x"38711073",
+402 => x"10757226",
+403 => x"53545272",
+404 => x"ea387351",
+405 => x"78833874",
+406 => x"5170800c",
+407 => x"863d0d04",
+408 => x"720a100a",
+409 => x"720a100a",
+410 => x"53537280",
+411 => x"2ee43871",
+412 => x"7426ed38",
+413 => x"73723175",
+414 => x"7407740a",
+415 => x"100a740a",
+416 => x"100a5555",
+417 => x"5654e339",
+418 => x"f73d0d7c",
+419 => x"70525380",
+420 => x"fd3f7254",
+421 => x"8008550b",
+422 => x"0b80cfb8",
+423 => x"56815780",
+424 => x"0881055a",
+425 => x"8b3de411",
+426 => x"59538259",
+427 => x"f413527b",
+428 => x"88110852",
+429 => x"5381b43f",
+430 => x"80083070",
+431 => x"8008079f",
+432 => x"2c8a0780",
+433 => x"0c538b3d",
+434 => x"0d04f63d",
+435 => x"0d7c80cf",
+436 => x"ec087153",
+437 => x"5553b73f",
+438 => x"72558008",
+439 => x"560b0b80",
+440 => x"cfb85781",
+441 => x"58800881",
+442 => x"055b8c3d",
+443 => x"e4115a53",
+444 => x"825af413",
+445 => x"52881408",
+446 => x"5180f03f",
+447 => x"80083070",
+448 => x"8008079f",
+449 => x"2c8a0780",
+450 => x"0c548c3d",
+451 => x"0d047070",
+452 => x"70707570",
+453 => x"71830653",
+454 => x"555270b4",
+455 => x"38717008",
+456 => x"7009f7fb",
+457 => x"fdff1206",
+458 => x"f8848281",
+459 => x"80065452",
+460 => x"53719b38",
+461 => x"84137008",
+462 => x"7009f7fb",
+463 => x"fdff1206",
+464 => x"f8848281",
+465 => x"80065452",
+466 => x"5371802e",
+467 => x"e7387252",
+468 => x"71335372",
+469 => x"802e8a38",
+470 => x"81127033",
+471 => x"545272f8",
+472 => x"38717431",
+473 => x"800c5050",
+474 => x"505004f2",
+475 => x"3d0d6062",
+476 => x"88110870",
+477 => x"58565f5a",
+478 => x"73802e81",
+479 => x"8c388c1a",
+480 => x"2270832a",
+481 => x"81328106",
+482 => x"56587486",
+483 => x"38901a08",
+484 => x"91387951",
+485 => x"90b73fff",
+486 => x"55800880",
+487 => x"ec388c1a",
+488 => x"22587d08",
+489 => x"55807883",
+490 => x"ffff0670",
+491 => x"0a100a81",
+492 => x"06415c57",
+493 => x"7e772e80",
+494 => x"d7387690",
+495 => x"38740884",
+496 => x"16088817",
+497 => x"57585676",
+498 => x"802ef238",
+499 => x"76548880",
+500 => x"77278438",
+501 => x"88805473",
+502 => x"5375529c",
+503 => x"1a0851a4",
+504 => x"1a085877",
+505 => x"2d800b80",
+506 => x"082582e0",
+507 => x"38800816",
+508 => x"77800831",
+509 => x"7f880508",
+510 => x"80083170",
+511 => x"6188050c",
+512 => x"5b585678",
+513 => x"ffb43880",
+514 => x"5574800c",
+515 => x"903d0d04",
+516 => x"7a813281",
+517 => x"06774056",
+518 => x"75802e81",
+519 => x"bd387690",
+520 => x"38740884",
+521 => x"16088817",
+522 => x"57585976",
+523 => x"802ef238",
+524 => x"881a0878",
+525 => x"83ffff06",
+526 => x"70892a81",
+527 => x"06565956",
+528 => x"73802e82",
+529 => x"f8387577",
+530 => x"278b3877",
+531 => x"872a8106",
+532 => x"5c7b82b5",
+533 => x"38767627",
+534 => x"83387656",
+535 => x"75537852",
+536 => x"79085185",
+537 => x"833f881a",
+538 => x"08763188",
+539 => x"1b0c7908",
+540 => x"167a0c76",
+541 => x"56751977",
+542 => x"77317f88",
+543 => x"05087831",
+544 => x"70618805",
+545 => x"0c415859",
+546 => x"7e802efe",
+547 => x"fa388c1a",
+548 => x"2258ff8a",
+549 => x"39787954",
+550 => x"7c537b52",
+551 => x"5684c93f",
+552 => x"881a0879",
+553 => x"31881b0c",
+554 => x"7908197a",
+555 => x"0c7c7631",
+556 => x"5d7c8e38",
+557 => x"79518ff2",
+558 => x"3f800881",
+559 => x"8f388008",
+560 => x"5f751c77",
+561 => x"77317f88",
+562 => x"05087831",
+563 => x"70618805",
+564 => x"0c5d585c",
+565 => x"7a802efe",
+566 => x"ae387681",
+567 => x"83387408",
+568 => x"84160888",
+569 => x"1757585c",
+570 => x"76802ef2",
+571 => x"3876538a",
+572 => x"527b5182",
+573 => x"d33f8008",
+574 => x"7c318105",
+575 => x"5d800884",
+576 => x"3881175d",
+577 => x"815f7c59",
+578 => x"767d2783",
+579 => x"38765994",
+580 => x"1a08881b",
+581 => x"08115758",
+582 => x"807a085c",
+583 => x"54901a08",
+584 => x"7b278338",
+585 => x"81547579",
+586 => x"25843873",
+587 => x"ba387779",
+588 => x"24fee238",
+589 => x"77537b52",
+590 => x"9c1a0851",
+591 => x"a41a0859",
+592 => x"782d8008",
+593 => x"56800880",
+594 => x"24fee238",
+595 => x"8c1a2280",
+596 => x"c0075e7d",
+597 => x"8c1b23ff",
+598 => x"5574800c",
+599 => x"903d0d04",
+600 => x"7effa338",
+601 => x"ff873975",
+602 => x"537b527a",
+603 => x"5182f93f",
+604 => x"7908167a",
+605 => x"0c79518e",
+606 => x"b13f8008",
+607 => x"cf387c76",
+608 => x"315d7cfe",
+609 => x"bc38feac",
+610 => x"39901a08",
+611 => x"7a087131",
+612 => x"78117056",
+613 => x"5a575280",
+614 => x"cfec0851",
+615 => x"84943f80",
+616 => x"08802eff",
+617 => x"a7388008",
+618 => x"901b0c80",
+619 => x"08167a0c",
+620 => x"77941b0c",
+621 => x"76881b0c",
+622 => x"7656fd99",
+623 => x"39790858",
+624 => x"901a0878",
+625 => x"27833881",
+626 => x"54757727",
+627 => x"843873b3",
+628 => x"38941a08",
+629 => x"54737726",
+630 => x"80d33873",
+631 => x"5378529c",
+632 => x"1a0851a4",
+633 => x"1a085877",
+634 => x"2d800856",
+635 => x"80088024",
+636 => x"fd83388c",
+637 => x"1a2280c0",
+638 => x"075e7d8c",
+639 => x"1b23ff55",
+640 => x"fed73975",
+641 => x"53785277",
+642 => x"5181dd3f",
+643 => x"7908167a",
+644 => x"0c79518d",
+645 => x"953f8008",
+646 => x"802efcd9",
+647 => x"388c1a22",
+648 => x"80c0075e",
+649 => x"7d8c1b23",
+650 => x"ff55fead",
+651 => x"39767754",
+652 => x"79537852",
+653 => x"5681b13f",
+654 => x"881a0877",
+655 => x"31881b0c",
+656 => x"7908177a",
+657 => x"0cfcae39",
+658 => x"fa3d0d7a",
+659 => x"79028805",
+660 => x"a7053355",
+661 => x"53548374",
+662 => x"2780df38",
+663 => x"71830651",
+664 => x"7080d738",
+665 => x"71715755",
+666 => x"83517582",
+667 => x"802913ff",
+668 => x"12525670",
+669 => x"8025f338",
+670 => x"837427bc",
+671 => x"38740876",
+672 => x"327009f7",
+673 => x"fbfdff12",
+674 => x"06f88482",
+675 => x"81800651",
+676 => x"5170802e",
+677 => x"98387451",
+678 => x"80527033",
+679 => x"5772772e",
+680 => x"b9388111",
+681 => x"81135351",
+682 => x"837227ee",
+683 => x"38fc1484",
+684 => x"16565473",
+685 => x"8326c638",
+686 => x"7452ff14",
+687 => x"5170ff2e",
+688 => x"97387133",
+689 => x"5472742e",
+690 => x"98388112",
+691 => x"ff125252",
+692 => x"70ff2e09",
+693 => x"8106eb38",
+694 => x"80517080",
+695 => x"0c883d0d",
+696 => x"0471800c",
+697 => x"883d0d04",
+698 => x"fa3d0d78",
+699 => x"7a7c7272",
+700 => x"72595755",
+701 => x"58565774",
+702 => x"7727b238",
+703 => x"75155176",
+704 => x"7127aa38",
+705 => x"707618ff",
+706 => x"18535353",
+707 => x"70ff2e96",
+708 => x"38ff12ff",
+709 => x"14545272",
+710 => x"337234ff",
+711 => x"115170ff",
+712 => x"2e098106",
+713 => x"ec387680",
+714 => x"0c883d0d",
+715 => x"048f7627",
+716 => x"80e63874",
+717 => x"77078306",
+718 => x"517080dc",
+719 => x"38767552",
+720 => x"53707084",
+721 => x"05520873",
+722 => x"70840555",
+723 => x"0c727170",
+724 => x"84055308",
+725 => x"71708405",
+726 => x"530c7170",
+727 => x"84055308",
+728 => x"71708405",
+729 => x"530c7170",
+730 => x"84055308",
+731 => x"71708405",
+732 => x"530cf015",
+733 => x"5553738f",
+734 => x"26c73883",
+735 => x"74279538",
+736 => x"70708405",
+737 => x"52087370",
+738 => x"8405550c",
+739 => x"fc145473",
+740 => x"8326ed38",
+741 => x"72715452",
+742 => x"ff145170",
+743 => x"ff2eff86",
+744 => x"38727081",
+745 => x"05543372",
+746 => x"70810554",
+747 => x"34ff1151",
+748 => x"ea39ef3d",
+749 => x"0d636567",
+750 => x"405d427b",
+751 => x"802e8582",
+752 => x"386151a9",
+753 => x"e73ff81c",
+754 => x"70841208",
+755 => x"70fc0670",
+756 => x"628b0570",
+757 => x"f8064159",
+758 => x"455c5f41",
+759 => x"57967427",
+760 => x"82c53880",
+761 => x"7b247e7c",
+762 => x"26075880",
+763 => x"5477742e",
+764 => x"09810682",
+765 => x"ab38787b",
+766 => x"2581fe38",
+767 => x"781780d7",
+768 => x"a80b8805",
+769 => x"085b5679",
+770 => x"762e84c5",
+771 => x"38841608",
+772 => x"70fe0617",
+773 => x"84110881",
+774 => x"06415555",
+775 => x"7e828d38",
+776 => x"74fc0658",
+777 => x"79762e84",
+778 => x"e3387818",
+779 => x"5f7e7b25",
+780 => x"81ff387c",
+781 => x"81065473",
+782 => x"82c13876",
+783 => x"77083184",
+784 => x"1108fc06",
+785 => x"56577580",
+786 => x"2e913879",
+787 => x"762e84f0",
+788 => x"38741819",
+789 => x"58777b25",
+790 => x"84913876",
+791 => x"802e829b",
+792 => x"38781556",
+793 => x"7a762482",
+794 => x"92388c17",
+795 => x"08881808",
+796 => x"718c120c",
+797 => x"88120c5e",
+798 => x"75598817",
+799 => x"61fc055b",
+800 => x"5679a426",
+801 => x"85ff387b",
+802 => x"76595593",
+803 => x"7a2780c9",
+804 => x"387b7084",
+805 => x"055d087c",
+806 => x"56760c74",
+807 => x"70840556",
+808 => x"088c180c",
+809 => x"9017589b",
+810 => x"7a27ae38",
+811 => x"74708405",
+812 => x"5608780c",
+813 => x"74708405",
+814 => x"56089418",
+815 => x"0c981758",
+816 => x"a37a2795",
+817 => x"38747084",
+818 => x"05560878",
+819 => x"0c747084",
+820 => x"0556089c",
+821 => x"180ca017",
+822 => x"58747084",
+823 => x"05560875",
+824 => x"5f787084",
+825 => x"055a0c77",
+826 => x"7e708405",
+827 => x"40087170",
+828 => x"8405530c",
+829 => x"7e08710c",
+830 => x"5d787b31",
+831 => x"56758f26",
+832 => x"80c93884",
+833 => x"17088106",
+834 => x"79078418",
+835 => x"0c781784",
+836 => x"11088107",
+837 => x"84120c5b",
+838 => x"6151a791",
+839 => x"3f881754",
+840 => x"73800c93",
+841 => x"3d0d0490",
+842 => x"5bfdb839",
+843 => x"7756fe83",
+844 => x"398c1608",
+845 => x"88170871",
+846 => x"8c120c88",
+847 => x"120c587e",
+848 => x"707c3157",
+849 => x"598f7627",
+850 => x"ffb9387a",
+851 => x"17841808",
+852 => x"81067c07",
+853 => x"84190c76",
+854 => x"81078412",
+855 => x"0c761184",
+856 => x"11088107",
+857 => x"84120c5b",
+858 => x"88055261",
+859 => x"518fda3f",
+860 => x"6151a6b9",
+861 => x"3f881754",
+862 => x"ffa6397d",
+863 => x"52615197",
+864 => x"d73f8008",
+865 => x"5a800880",
+866 => x"2e81ab38",
+867 => x"8008f805",
+868 => x"60840508",
+869 => x"fe066105",
+870 => x"58557477",
+871 => x"2e83f238",
+872 => x"fc195877",
+873 => x"a42681b0",
+874 => x"387b8008",
+875 => x"56579378",
+876 => x"2780dc38",
+877 => x"7b707084",
+878 => x"05520880",
+879 => x"08708405",
+880 => x"800c0c80",
+881 => x"08717084",
+882 => x"0553085d",
+883 => x"567b7670",
+884 => x"8405580c",
+885 => x"579b7827",
+886 => x"b6387670",
+887 => x"84055808",
+888 => x"75708405",
+889 => x"570c7670",
+890 => x"84055808",
+891 => x"75708405",
+892 => x"570ca378",
+893 => x"27993876",
+894 => x"70840558",
+895 => x"08757084",
+896 => x"05570c76",
+897 => x"70840558",
+898 => x"08757084",
+899 => x"05570c76",
+900 => x"70840558",
+901 => x"08775e75",
+902 => x"70840557",
+903 => x"0c747d70",
+904 => x"84055f08",
+905 => x"71708405",
+906 => x"530c7d08",
+907 => x"710c5f7b",
+908 => x"5261518e",
+909 => x"943f6151",
+910 => x"a4f33f79",
+911 => x"800c933d",
+912 => x"0d047d52",
+913 => x"61519690",
+914 => x"3f800880",
+915 => x"0c933d0d",
+916 => x"04841608",
+917 => x"55fbc939",
+918 => x"77537b52",
+919 => x"800851a2",
+920 => x"a53f7b52",
+921 => x"61518de1",
+922 => x"3fcc398c",
+923 => x"16088817",
+924 => x"08718c12",
+925 => x"0c88120c",
+926 => x"5d8c1708",
+927 => x"88180871",
+928 => x"8c120c88",
+929 => x"120c5977",
+930 => x"59fbef39",
+931 => x"7818901c",
+932 => x"40557e75",
+933 => x"24fb9c38",
+934 => x"7a177080",
+935 => x"d7a80b88",
+936 => x"050c757c",
+937 => x"31810784",
+938 => x"120c5684",
+939 => x"17088106",
+940 => x"7b078418",
+941 => x"0c6151a3",
+942 => x"f43f8817",
+943 => x"54fce139",
+944 => x"74181990",
+945 => x"1c5e5a7c",
+946 => x"7a24fb8f",
+947 => x"388c1708",
+948 => x"88180871",
+949 => x"8c120c88",
+950 => x"120c5e88",
+951 => x"1761fc05",
+952 => x"575975a4",
+953 => x"2681b638",
+954 => x"7b795955",
+955 => x"93762780",
+956 => x"c9387b70",
+957 => x"84055d08",
+958 => x"7c56790c",
+959 => x"74708405",
+960 => x"56088c18",
+961 => x"0c901758",
+962 => x"9b7627ae",
+963 => x"38747084",
+964 => x"05560878",
+965 => x"0c747084",
+966 => x"05560894",
+967 => x"180c9817",
+968 => x"58a37627",
+969 => x"95387470",
+970 => x"84055608",
+971 => x"780c7470",
+972 => x"84055608",
+973 => x"9c180ca0",
+974 => x"17587470",
+975 => x"84055608",
+976 => x"75417870",
+977 => x"84055a0c",
+978 => x"77607084",
+979 => x"05420871",
+980 => x"70840553",
+981 => x"0c600871",
+982 => x"0c5e7a17",
+983 => x"7080d7a8",
+984 => x"0b88050c",
+985 => x"7a7c3181",
+986 => x"0784120c",
+987 => x"58841708",
+988 => x"81067b07",
+989 => x"84180c61",
+990 => x"51a2b23f",
+991 => x"78547380",
+992 => x"0c933d0d",
+993 => x"0479537b",
+994 => x"5275519f",
+995 => x"f93ffae9",
+996 => x"39841508",
+997 => x"fc061960",
+998 => x"5859fadd",
+999 => x"3975537b",
+1000 => x"5278519f",
+1001 => x"e13f7a17",
+1002 => x"7080d7a8",
+1003 => x"0b88050c",
+1004 => x"7a7c3181",
+1005 => x"0784120c",
+1006 => x"58841708",
+1007 => x"81067b07",
+1008 => x"84180c61",
+1009 => x"51a1e63f",
+1010 => x"7854ffb2",
+1011 => x"39fa3d0d",
+1012 => x"7880cfec",
+1013 => x"085455b8",
+1014 => x"1308802e",
+1015 => x"81af388c",
+1016 => x"15227083",
+1017 => x"ffff0670",
+1018 => x"832a8132",
+1019 => x"81065555",
+1020 => x"5672802e",
+1021 => x"80da3873",
+1022 => x"842a8132",
+1023 => x"810657ff",
+1024 => x"537680f2",
+1025 => x"3873822a",
+1026 => x"81065473",
+1027 => x"802eb938",
+1028 => x"b0150854",
+1029 => x"73802e9c",
+1030 => x"3880c015",
+1031 => x"5373732e",
+1032 => x"8f387352",
+1033 => x"80cfec08",
+1034 => x"518a9e3f",
+1035 => x"8c152256",
+1036 => x"76b0160c",
+1037 => x"75db0657",
+1038 => x"768c1623",
+1039 => x"800b8416",
+1040 => x"0c901508",
+1041 => x"750c7656",
+1042 => x"75880754",
+1043 => x"738c1623",
+1044 => x"90150880",
+1045 => x"2ebf388c",
+1046 => x"15227081",
+1047 => x"06555373",
+1048 => x"9c38720a",
+1049 => x"100a8106",
+1050 => x"56758538",
+1051 => x"94150854",
+1052 => x"7388160c",
+1053 => x"80537280",
+1054 => x"0c883d0d",
+1055 => x"04800b88",
+1056 => x"160c9415",
+1057 => x"08309816",
+1058 => x"0c8053ea",
+1059 => x"39725182",
+1060 => x"a63ffecb",
+1061 => x"3974518f",
+1062 => x"bc3f8c15",
+1063 => x"22708106",
+1064 => x"55537380",
+1065 => x"2effbb38",
+1066 => x"d439f83d",
+1067 => x"0d7a5776",
+1068 => x"802e8197",
+1069 => x"3880cfec",
+1070 => x"0854b814",
+1071 => x"08802e80",
+1072 => x"eb388c17",
+1073 => x"2270902b",
+1074 => x"70902c70",
+1075 => x"832a8132",
+1076 => x"81065b5b",
+1077 => x"57557780",
+1078 => x"cb389017",
+1079 => x"08567580",
+1080 => x"2e80c138",
+1081 => x"76087631",
+1082 => x"76780c79",
+1083 => x"83065555",
+1084 => x"73853894",
+1085 => x"17085877",
+1086 => x"88180c80",
+1087 => x"7525a538",
+1088 => x"74537552",
+1089 => x"9c170851",
+1090 => x"a4170854",
+1091 => x"732d800b",
+1092 => x"80082580",
+1093 => x"c9388008",
+1094 => x"16758008",
+1095 => x"31565674",
+1096 => x"8024dd38",
+1097 => x"800b800c",
+1098 => x"8a3d0d04",
+1099 => x"73518187",
+1100 => x"3f8c1722",
+1101 => x"70902b70",
+1102 => x"902c7083",
+1103 => x"2a813281",
+1104 => x"065b5b57",
+1105 => x"5577dd38",
+1106 => x"ff9039a1",
+1107 => x"aa5280cf",
+1108 => x"ec08518c",
+1109 => x"d03f8008",
+1110 => x"800c8a3d",
+1111 => x"0d048c17",
+1112 => x"2280c007",
+1113 => x"58778c18",
+1114 => x"23ff0b80",
+1115 => x"0c8a3d0d",
+1116 => x"04fa3d0d",
+1117 => x"797080dc",
+1118 => x"298c1154",
+1119 => x"7a535657",
+1120 => x"8fd63f80",
+1121 => x"08800855",
+1122 => x"56800880",
+1123 => x"2ea23880",
+1124 => x"088c0554",
+1125 => x"800b8008",
+1126 => x"0c768008",
+1127 => x"84050c73",
+1128 => x"80088805",
+1129 => x"0c745380",
+1130 => x"5273519c",
+1131 => x"f53f7554",
+1132 => x"73800c88",
+1133 => x"3d0d0470",
+1134 => x"707074a8",
+1135 => x"f60bbc12",
+1136 => x"0c53810b",
+1137 => x"b8140c80",
+1138 => x"0b84dc14",
+1139 => x"0c830b84",
+1140 => x"e0140c84",
+1141 => x"e81384e4",
+1142 => x"140c8413",
+1143 => x"08518070",
+1144 => x"720c7084",
+1145 => x"130c7088",
+1146 => x"130c5284",
+1147 => x"0b8c1223",
+1148 => x"718e1223",
+1149 => x"7190120c",
+1150 => x"7194120c",
+1151 => x"7198120c",
+1152 => x"709c120c",
+1153 => x"80c1e50b",
+1154 => x"a0120c80",
+1155 => x"c2b10ba4",
+1156 => x"120c80c3",
+1157 => x"ad0ba812",
+1158 => x"0c80c3fe",
+1159 => x"0bac120c",
+1160 => x"88130872",
+1161 => x"710c7284",
+1162 => x"120c7288",
+1163 => x"120c5189",
+1164 => x"0b8c1223",
+1165 => x"810b8e12",
+1166 => x"23719012",
+1167 => x"0c719412",
+1168 => x"0c719812",
+1169 => x"0c709c12",
+1170 => x"0c80c1e5",
+1171 => x"0ba0120c",
+1172 => x"80c2b10b",
+1173 => x"a4120c80",
+1174 => x"c3ad0ba8",
+1175 => x"120c80c3",
+1176 => x"fe0bac12",
+1177 => x"0c8c1308",
+1178 => x"72710c72",
+1179 => x"84120c72",
+1180 => x"88120c51",
+1181 => x"8a0b8c12",
+1182 => x"23820b8e",
+1183 => x"12237190",
+1184 => x"120c7194",
+1185 => x"120c7198",
+1186 => x"120c709c",
+1187 => x"120c80c1",
+1188 => x"e50ba012",
+1189 => x"0c80c2b1",
+1190 => x"0ba4120c",
+1191 => x"80c3ad0b",
+1192 => x"a8120c80",
+1193 => x"c3fe0bac",
+1194 => x"120c5050",
+1195 => x"5004f83d",
+1196 => x"0d7a80cf",
+1197 => x"ec08b811",
+1198 => x"08575758",
+1199 => x"7481ec38",
+1200 => x"a8f60bbc",
+1201 => x"170c810b",
+1202 => x"b8170c74",
+1203 => x"84dc170c",
+1204 => x"830b84e0",
+1205 => x"170c84e8",
+1206 => x"1684e417",
+1207 => x"0c841608",
+1208 => x"75710c75",
+1209 => x"84120c75",
+1210 => x"88120c59",
+1211 => x"840b8c1a",
+1212 => x"23748e1a",
+1213 => x"2374901a",
+1214 => x"0c74941a",
+1215 => x"0c74981a",
+1216 => x"0c789c1a",
+1217 => x"0c80c1e5",
+1218 => x"0ba01a0c",
+1219 => x"80c2b10b",
+1220 => x"a41a0c80",
+1221 => x"c3ad0ba8",
+1222 => x"1a0c80c3",
+1223 => x"fe0bac1a",
+1224 => x"0c881608",
+1225 => x"75710c75",
+1226 => x"84120c75",
+1227 => x"88120c57",
+1228 => x"890b8c18",
+1229 => x"23810b8e",
+1230 => x"18237490",
+1231 => x"180c7494",
+1232 => x"180c7498",
+1233 => x"180c769c",
+1234 => x"180c80c1",
+1235 => x"e50ba018",
+1236 => x"0c80c2b1",
+1237 => x"0ba4180c",
+1238 => x"80c3ad0b",
+1239 => x"a8180c80",
+1240 => x"c3fe0bac",
+1241 => x"180c8c16",
+1242 => x"0875710c",
+1243 => x"7584120c",
+1244 => x"7588120c",
+1245 => x"548a0b8c",
+1246 => x"1523820b",
+1247 => x"8e152374",
+1248 => x"90150c74",
+1249 => x"94150c74",
+1250 => x"98150c73",
+1251 => x"9c150c80",
+1252 => x"c1e50ba0",
+1253 => x"150c80c2",
+1254 => x"b10ba415",
+1255 => x"0c80c3ad",
+1256 => x"0ba8150c",
+1257 => x"80c3fe0b",
+1258 => x"ac150c84",
+1259 => x"dc168811",
+1260 => x"08841208",
+1261 => x"ff055757",
+1262 => x"57807524",
+1263 => x"9f388c16",
+1264 => x"2270902b",
+1265 => x"70902c51",
+1266 => x"55597380",
+1267 => x"2e80ed38",
+1268 => x"80dc16ff",
+1269 => x"16565674",
+1270 => x"8025e338",
+1271 => x"76085574",
+1272 => x"802e8f38",
+1273 => x"74881108",
+1274 => x"841208ff",
+1275 => x"05575757",
+1276 => x"c83982fc",
+1277 => x"5277518a",
+1278 => x"df3f8008",
+1279 => x"80085556",
+1280 => x"8008802e",
+1281 => x"a3388008",
+1282 => x"8c057580",
+1283 => x"080c5484",
+1284 => x"0b800884",
+1285 => x"050c7380",
+1286 => x"0888050c",
+1287 => x"82f05374",
+1288 => x"52735197",
+1289 => x"fd3f7554",
+1290 => x"7374780c",
+1291 => x"5573ffb4",
+1292 => x"388c780c",
+1293 => x"800b800c",
+1294 => x"8a3d0d04",
+1295 => x"810b8c17",
+1296 => x"2373760c",
+1297 => x"7388170c",
+1298 => x"7384170c",
+1299 => x"7390170c",
+1300 => x"7394170c",
+1301 => x"7398170c",
+1302 => x"ff0b8e17",
+1303 => x"2373b017",
+1304 => x"0c73b417",
+1305 => x"0c7380c4",
+1306 => x"170c7380",
+1307 => x"c8170c75",
+1308 => x"800c8a3d",
+1309 => x"0d047070",
+1310 => x"a1aa5273",
+1311 => x"5186a63f",
+1312 => x"50500470",
+1313 => x"70a1aa52",
+1314 => x"80cfec08",
+1315 => x"5186963f",
+1316 => x"505004fb",
+1317 => x"3d0d7770",
+1318 => x"52569890",
+1319 => x"3f80d7a8",
+1320 => x"0b880508",
+1321 => x"841108fc",
+1322 => x"06707b31",
+1323 => x"9fef05e0",
+1324 => x"8006e080",
+1325 => x"05525555",
+1326 => x"a0807524",
+1327 => x"94388052",
+1328 => x"755197ea",
+1329 => x"3f80d7b0",
+1330 => x"08145372",
+1331 => x"80082e8f",
+1332 => x"38755197",
+1333 => x"d83f8053",
+1334 => x"72800c87",
+1335 => x"3d0d0474",
+1336 => x"30527551",
+1337 => x"97c83f80",
+1338 => x"08ff2ea8",
+1339 => x"3880d7a8",
+1340 => x"0b880508",
+1341 => x"74763181",
+1342 => x"0784120c",
+1343 => x"5380d6ec",
+1344 => x"08753180",
+1345 => x"d6ec0c75",
+1346 => x"5197a23f",
+1347 => x"810b800c",
+1348 => x"873d0d04",
+1349 => x"80527551",
+1350 => x"97943f80",
+1351 => x"d7a80b88",
+1352 => x"05088008",
+1353 => x"71315454",
+1354 => x"8f7325ff",
+1355 => x"a4388008",
+1356 => x"80d79c08",
+1357 => x"3180d6ec",
+1358 => x"0c728107",
+1359 => x"84150c75",
+1360 => x"5196ea3f",
+1361 => x"8053ff90",
+1362 => x"39f73d0d",
+1363 => x"7b7d545a",
+1364 => x"72802e82",
+1365 => x"83387951",
+1366 => x"96d23ff8",
+1367 => x"13841108",
+1368 => x"70fe0670",
+1369 => x"13841108",
+1370 => x"fc065c57",
+1371 => x"58545780",
+1372 => x"d7b00874",
+1373 => x"2e82de38",
+1374 => x"7784150c",
+1375 => x"80738106",
+1376 => x"56597479",
+1377 => x"2e81d538",
+1378 => x"77148411",
+1379 => x"08810656",
+1380 => x"5374a038",
+1381 => x"77165678",
+1382 => x"81e63888",
+1383 => x"14085574",
+1384 => x"80d7b02e",
+1385 => x"82f9388c",
+1386 => x"1408708c",
+1387 => x"170c7588",
+1388 => x"120c5875",
+1389 => x"81078418",
+1390 => x"0c751776",
+1391 => x"710c5478",
+1392 => x"81913883",
+1393 => x"ff762781",
+1394 => x"c8387589",
+1395 => x"2a76832a",
+1396 => x"54547380",
+1397 => x"2ebf3875",
+1398 => x"862ab805",
+1399 => x"53847427",
+1400 => x"b43880db",
+1401 => x"14539474",
+1402 => x"27ab3875",
+1403 => x"8c2a80ee",
+1404 => x"055380d4",
+1405 => x"74279e38",
+1406 => x"758f2a80",
+1407 => x"f7055382",
+1408 => x"d4742791",
+1409 => x"3875922a",
+1410 => x"80fc0553",
+1411 => x"8ad47427",
+1412 => x"843880fe",
+1413 => x"53721010",
+1414 => x"1080d7a8",
+1415 => x"05881108",
+1416 => x"55557375",
+1417 => x"2e82bf38",
+1418 => x"841408fc",
+1419 => x"06597579",
+1420 => x"278d3888",
+1421 => x"14085473",
+1422 => x"752e0981",
+1423 => x"06ea388c",
+1424 => x"1408708c",
+1425 => x"190c7488",
+1426 => x"190c7788",
+1427 => x"120c5576",
+1428 => x"8c150c79",
+1429 => x"5194d63f",
+1430 => x"8b3d0d04",
+1431 => x"76087771",
+1432 => x"31587605",
+1433 => x"88180856",
+1434 => x"567480d7",
+1435 => x"b02e80e0",
+1436 => x"388c1708",
+1437 => x"708c170c",
+1438 => x"7588120c",
+1439 => x"53fe8939",
+1440 => x"8814088c",
+1441 => x"1508708c",
+1442 => x"130c5988",
+1443 => x"190cfea3",
+1444 => x"3975832a",
+1445 => x"70545480",
+1446 => x"74248198",
+1447 => x"3872822c",
+1448 => x"81712b80",
+1449 => x"d7ac0807",
+1450 => x"80d7a80b",
+1451 => x"84050c74",
+1452 => x"10101080",
+1453 => x"d7a80588",
+1454 => x"1108718c",
+1455 => x"1b0c7088",
+1456 => x"1b0c7988",
+1457 => x"130c565a",
+1458 => x"55768c15",
+1459 => x"0cff8439",
+1460 => x"8159fdb4",
+1461 => x"39771673",
+1462 => x"81065455",
+1463 => x"72983876",
+1464 => x"08777131",
+1465 => x"5875058c",
+1466 => x"18088819",
+1467 => x"08718c12",
+1468 => x"0c88120c",
+1469 => x"55557481",
+1470 => x"0784180c",
+1471 => x"7680d7a8",
+1472 => x"0b88050c",
+1473 => x"80d7a408",
+1474 => x"7526fec7",
+1475 => x"3880d7a0",
+1476 => x"08527951",
+1477 => x"fafd3f79",
+1478 => x"5193923f",
+1479 => x"feba3981",
+1480 => x"778c170c",
+1481 => x"7788170c",
+1482 => x"758c190c",
+1483 => x"7588190c",
+1484 => x"59fd8039",
+1485 => x"83147082",
+1486 => x"2c81712b",
+1487 => x"80d7ac08",
+1488 => x"0780d7a8",
+1489 => x"0b84050c",
+1490 => x"75101010",
+1491 => x"80d7a805",
+1492 => x"88110871",
+1493 => x"8c1c0c70",
+1494 => x"881c0c7a",
+1495 => x"88130c57",
+1496 => x"5b5653fe",
+1497 => x"e4398073",
+1498 => x"24a33872",
+1499 => x"822c8171",
+1500 => x"2b80d7ac",
+1501 => x"080780d7",
+1502 => x"a80b8405",
+1503 => x"0c58748c",
+1504 => x"180c7388",
+1505 => x"180c7688",
+1506 => x"160cfdc3",
+1507 => x"39831370",
+1508 => x"822c8171",
+1509 => x"2b80d7ac",
+1510 => x"080780d7",
+1511 => x"a80b8405",
+1512 => x"0c5953da",
+1513 => x"39f93d0d",
+1514 => x"797b5853",
+1515 => x"800b80cf",
+1516 => x"ec085356",
+1517 => x"72722ebc",
+1518 => x"3884dc13",
+1519 => x"5574762e",
+1520 => x"b3388815",
+1521 => x"08841608",
+1522 => x"ff055454",
+1523 => x"80732499",
+1524 => x"388c1422",
+1525 => x"70902b53",
+1526 => x"587180d4",
+1527 => x"3880dc14",
+1528 => x"ff145454",
+1529 => x"728025e9",
+1530 => x"38740855",
+1531 => x"74d43880",
+1532 => x"cfec0852",
+1533 => x"84dc1255",
+1534 => x"74802ead",
+1535 => x"38881508",
+1536 => x"841608ff",
+1537 => x"05545480",
+1538 => x"73249838",
+1539 => x"8c142270",
+1540 => x"902b5358",
+1541 => x"71ad3880",
+1542 => x"dc14ff14",
+1543 => x"54547280",
+1544 => x"25ea3874",
+1545 => x"085574d5",
+1546 => x"3875800c",
+1547 => x"893d0d04",
+1548 => x"7351762d",
+1549 => x"75800807",
+1550 => x"80dc15ff",
+1551 => x"15555556",
+1552 => x"ffa23973",
+1553 => x"51762d75",
+1554 => x"80080780",
+1555 => x"dc15ff15",
+1556 => x"555556ca",
+1557 => x"39ea3d0d",
+1558 => x"688c1122",
+1559 => x"700a100a",
+1560 => x"81065758",
+1561 => x"567480e4",
+1562 => x"388e1622",
+1563 => x"70902b70",
+1564 => x"902c5155",
+1565 => x"58807424",
+1566 => x"b138983d",
+1567 => x"c4055373",
+1568 => x"5280cfec",
+1569 => x"08519481",
+1570 => x"3f800b80",
+1571 => x"08249738",
+1572 => x"7983e080",
+1573 => x"06547380",
+1574 => x"c0802e81",
+1575 => x"8f387382",
+1576 => x"80802e81",
+1577 => x"91388c16",
+1578 => x"22577690",
+1579 => x"80075473",
+1580 => x"8c172388",
+1581 => x"805280cf",
+1582 => x"ec085181",
+1583 => x"9b3f8008",
+1584 => x"9d388c16",
+1585 => x"22820755",
+1586 => x"748c1723",
+1587 => x"80c31670",
+1588 => x"770c9017",
+1589 => x"0c810b94",
+1590 => x"170c983d",
+1591 => x"0d0480cf",
+1592 => x"ec08a8f6",
+1593 => x"0bbc120c",
+1594 => x"588c1622",
+1595 => x"81800754",
+1596 => x"738c1723",
+1597 => x"8008760c",
+1598 => x"80089017",
+1599 => x"0c88800b",
+1600 => x"94170c74",
+1601 => x"802ed338",
+1602 => x"8e162270",
+1603 => x"902b7090",
+1604 => x"2c535654",
+1605 => x"9afb3f80",
+1606 => x"08802eff",
+1607 => x"bd388c16",
+1608 => x"22810757",
+1609 => x"768c1723",
+1610 => x"983d0d04",
+1611 => x"810b8c17",
+1612 => x"225855fe",
+1613 => x"f539a816",
+1614 => x"0880c3ad",
+1615 => x"2e098106",
+1616 => x"fee4388c",
+1617 => x"16228880",
+1618 => x"0754738c",
+1619 => x"17238880",
+1620 => x"0b80cc17",
+1621 => x"0cfedc39",
+1622 => x"f43d0d7e",
+1623 => x"608b1170",
+1624 => x"f8065b55",
+1625 => x"555d7296",
+1626 => x"26833890",
+1627 => x"58807824",
+1628 => x"74792607",
+1629 => x"55805474",
+1630 => x"742e0981",
+1631 => x"0680ca38",
+1632 => x"7c518ea8",
+1633 => x"3f7783f7",
+1634 => x"2680c538",
+1635 => x"77832a70",
+1636 => x"10101080",
+1637 => x"d7a8058c",
+1638 => x"11085858",
+1639 => x"5475772e",
+1640 => x"81f03884",
+1641 => x"1608fc06",
+1642 => x"8c170888",
+1643 => x"1808718c",
+1644 => x"120c8812",
+1645 => x"0c5b7605",
+1646 => x"84110881",
+1647 => x"0784120c",
+1648 => x"537c518d",
+1649 => x"e83f8816",
+1650 => x"5473800c",
+1651 => x"8e3d0d04",
+1652 => x"77892a78",
+1653 => x"832a5854",
+1654 => x"73802ebf",
+1655 => x"3877862a",
+1656 => x"b8055784",
+1657 => x"7427b438",
+1658 => x"80db1457",
+1659 => x"947427ab",
+1660 => x"38778c2a",
+1661 => x"80ee0557",
+1662 => x"80d47427",
+1663 => x"9e38778f",
+1664 => x"2a80f705",
+1665 => x"5782d474",
+1666 => x"27913877",
+1667 => x"922a80fc",
+1668 => x"05578ad4",
+1669 => x"74278438",
+1670 => x"80fe5776",
+1671 => x"10101080",
+1672 => x"d7a8058c",
+1673 => x"11085653",
+1674 => x"74732ea3",
+1675 => x"38841508",
+1676 => x"fc067079",
+1677 => x"31555673",
+1678 => x"8f2488e4",
+1679 => x"38738025",
+1680 => x"88e6388c",
+1681 => x"15085574",
+1682 => x"732e0981",
+1683 => x"06df3881",
+1684 => x"175980d7",
+1685 => x"b8085675",
+1686 => x"80d7b02e",
+1687 => x"82cc3884",
+1688 => x"1608fc06",
+1689 => x"70793155",
+1690 => x"55738f24",
+1691 => x"bb3880d7",
+1692 => x"b00b80d7",
+1693 => x"bc0c80d7",
+1694 => x"b00b80d7",
+1695 => x"b80c8074",
+1696 => x"2480db38",
+1697 => x"74168411",
+1698 => x"08810784",
+1699 => x"120c53fe",
+1700 => x"b0398816",
+1701 => x"8c110857",
+1702 => x"5975792e",
+1703 => x"098106fe",
+1704 => x"82388214",
+1705 => x"59ffab39",
+1706 => x"77167881",
+1707 => x"0784180c",
+1708 => x"7080d7bc",
+1709 => x"0c7080d7",
+1710 => x"b80c80d7",
+1711 => x"b00b8c12",
+1712 => x"0c8c1108",
+1713 => x"88120c74",
+1714 => x"81078412",
+1715 => x"0c740574",
+1716 => x"710c5b7c",
+1717 => x"518bd63f",
+1718 => x"881654fd",
+1719 => x"ec3983ff",
+1720 => x"75278391",
+1721 => x"3874892a",
+1722 => x"75832a54",
+1723 => x"5473802e",
+1724 => x"bf387486",
+1725 => x"2ab80553",
+1726 => x"847427b4",
+1727 => x"3880db14",
+1728 => x"53947427",
+1729 => x"ab38748c",
+1730 => x"2a80ee05",
+1731 => x"5380d474",
+1732 => x"279e3874",
+1733 => x"8f2a80f7",
+1734 => x"055382d4",
+1735 => x"74279138",
+1736 => x"74922a80",
+1737 => x"fc05538a",
+1738 => x"d4742784",
+1739 => x"3880fe53",
+1740 => x"72101010",
+1741 => x"80d7a805",
+1742 => x"88110855",
+1743 => x"5773772e",
+1744 => x"868b3884",
+1745 => x"1408fc06",
+1746 => x"5b747b27",
+1747 => x"8d388814",
+1748 => x"08547377",
+1749 => x"2e098106",
+1750 => x"ea388c14",
+1751 => x"0880d7a8",
+1752 => x"0b840508",
+1753 => x"718c190c",
+1754 => x"7588190c",
+1755 => x"7788130c",
+1756 => x"5c57758c",
+1757 => x"150c7853",
+1758 => x"80792483",
+1759 => x"98387282",
+1760 => x"2c81712b",
+1761 => x"5656747b",
+1762 => x"2680ca38",
+1763 => x"7a750657",
+1764 => x"7682a338",
+1765 => x"78fc0684",
+1766 => x"05597410",
+1767 => x"707c0655",
+1768 => x"55738292",
+1769 => x"38841959",
+1770 => x"f13980d7",
+1771 => x"a80b8405",
+1772 => x"0879545b",
+1773 => x"788025c6",
+1774 => x"3882da39",
+1775 => x"74097b06",
+1776 => x"7080d7a8",
+1777 => x"0b84050c",
+1778 => x"5b741055",
+1779 => x"747b2685",
+1780 => x"387485bc",
+1781 => x"3880d7a8",
+1782 => x"0b880508",
+1783 => x"70841208",
+1784 => x"fc06707b",
+1785 => x"317b7226",
+1786 => x"8f722507",
+1787 => x"5d575c5c",
+1788 => x"5578802e",
+1789 => x"80d93879",
+1790 => x"1580d7a0",
+1791 => x"08199011",
+1792 => x"59545680",
+1793 => x"d79c08ff",
+1794 => x"2e8838a0",
+1795 => x"8f13e080",
+1796 => x"06577652",
+1797 => x"7c518996",
+1798 => x"3f800854",
+1799 => x"8008ff2e",
+1800 => x"90388008",
+1801 => x"762782a7",
+1802 => x"387480d7",
+1803 => x"a82e829f",
+1804 => x"3880d7a8",
+1805 => x"0b880508",
+1806 => x"55841508",
+1807 => x"fc067079",
+1808 => x"31797226",
+1809 => x"8f722507",
+1810 => x"5d555a7a",
+1811 => x"83f23877",
+1812 => x"81078416",
+1813 => x"0c771570",
+1814 => x"80d7a80b",
+1815 => x"88050c74",
+1816 => x"81078412",
+1817 => x"0c567c51",
+1818 => x"88c33f88",
+1819 => x"15547380",
+1820 => x"0c8e3d0d",
+1821 => x"0474832a",
+1822 => x"70545480",
+1823 => x"7424819b",
+1824 => x"3872822c",
+1825 => x"81712b80",
+1826 => x"d7ac0807",
+1827 => x"7080d7a8",
+1828 => x"0b84050c",
+1829 => x"75101010",
+1830 => x"80d7a805",
+1831 => x"88110871",
+1832 => x"8c1b0c70",
+1833 => x"881b0c79",
+1834 => x"88130c57",
+1835 => x"555c5575",
+1836 => x"8c150cfd",
+1837 => x"c1397879",
+1838 => x"10101080",
+1839 => x"d7a80570",
+1840 => x"565b5c8c",
+1841 => x"14085675",
+1842 => x"742ea338",
+1843 => x"841608fc",
+1844 => x"06707931",
+1845 => x"5853768f",
+1846 => x"2483f138",
+1847 => x"76802584",
+1848 => x"af388c16",
+1849 => x"08567574",
+1850 => x"2e098106",
+1851 => x"df388814",
+1852 => x"811a7083",
+1853 => x"06555a54",
+1854 => x"72c9387b",
+1855 => x"83065675",
+1856 => x"802efdb8",
+1857 => x"38ff1cf8",
+1858 => x"1b5b5c88",
+1859 => x"1a087a2e",
+1860 => x"ea38fdb5",
+1861 => x"39831953",
+1862 => x"fce43983",
+1863 => x"1470822c",
+1864 => x"81712b80",
+1865 => x"d7ac0807",
+1866 => x"7080d7a8",
+1867 => x"0b84050c",
+1868 => x"76101010",
+1869 => x"80d7a805",
+1870 => x"88110871",
+1871 => x"8c1c0c70",
+1872 => x"881c0c7a",
+1873 => x"88130c58",
+1874 => x"535d5653",
+1875 => x"fee13980",
+1876 => x"d6ec0817",
+1877 => x"59800876",
+1878 => x"2e818b38",
+1879 => x"80d79c08",
+1880 => x"ff2e848e",
+1881 => x"38737631",
+1882 => x"1980d6ec",
+1883 => x"0c738706",
+1884 => x"70565372",
+1885 => x"802e8838",
+1886 => x"88733170",
+1887 => x"15555576",
+1888 => x"149fff06",
+1889 => x"a0807131",
+1890 => x"1670547e",
+1891 => x"53515386",
+1892 => x"9d3f8008",
+1893 => x"568008ff",
+1894 => x"2e819e38",
+1895 => x"80d6ec08",
+1896 => x"137080d6",
+1897 => x"ec0c7475",
+1898 => x"80d7a80b",
+1899 => x"88050c77",
+1900 => x"76311581",
+1901 => x"07555659",
+1902 => x"7a80d7a8",
+1903 => x"2e83c038",
+1904 => x"798f2682",
+1905 => x"ef38810b",
+1906 => x"84150c84",
+1907 => x"1508fc06",
+1908 => x"70793179",
+1909 => x"72268f72",
+1910 => x"25075d55",
+1911 => x"5a7a802e",
+1912 => x"fced3880",
+1913 => x"db398008",
+1914 => x"9fff0655",
+1915 => x"74feed38",
+1916 => x"7880d6ec",
+1917 => x"0c80d7a8",
+1918 => x"0b880508",
+1919 => x"7a188107",
+1920 => x"84120c55",
+1921 => x"80d79808",
+1922 => x"79278638",
+1923 => x"7880d798",
+1924 => x"0c80d794",
+1925 => x"087927fc",
+1926 => x"a0387880",
+1927 => x"d7940c84",
+1928 => x"1508fc06",
+1929 => x"70793179",
+1930 => x"72268f72",
+1931 => x"25075d55",
+1932 => x"5a7a802e",
+1933 => x"fc993888",
+1934 => x"39807457",
+1935 => x"53fedd39",
+1936 => x"7c5184e9",
+1937 => x"3f800b80",
+1938 => x"0c8e3d0d",
+1939 => x"04807324",
+1940 => x"a5387282",
+1941 => x"2c81712b",
+1942 => x"80d7ac08",
+1943 => x"077080d7",
+1944 => x"a80b8405",
+1945 => x"0c5c5a76",
+1946 => x"8c170c73",
+1947 => x"88170c75",
+1948 => x"88180cf9",
+1949 => x"fd398313",
+1950 => x"70822c81",
+1951 => x"712b80d7",
+1952 => x"ac080770",
+1953 => x"80d7a80b",
+1954 => x"84050c5d",
+1955 => x"5b53d839",
+1956 => x"7a75065c",
+1957 => x"7bfc9f38",
+1958 => x"84197510",
+1959 => x"5659f139",
+1960 => x"ff178105",
+1961 => x"59f7ab39",
+1962 => x"8c150888",
+1963 => x"1608718c",
+1964 => x"120c8812",
+1965 => x"0c597515",
+1966 => x"84110881",
+1967 => x"0784120c",
+1968 => x"587c5183",
+1969 => x"e83f8815",
+1970 => x"54fba339",
+1971 => x"77167881",
+1972 => x"0784180c",
+1973 => x"8c170888",
+1974 => x"1808718c",
+1975 => x"120c8812",
+1976 => x"0c5c7080",
+1977 => x"d7bc0c70",
+1978 => x"80d7b80c",
+1979 => x"80d7b00b",
+1980 => x"8c120c8c",
+1981 => x"11088812",
+1982 => x"0c778107",
+1983 => x"84120c77",
+1984 => x"0577710c",
+1985 => x"557c5183",
+1986 => x"a43f8816",
+1987 => x"54f5ba39",
+1988 => x"72168411",
+1989 => x"08810784",
+1990 => x"120c588c",
+1991 => x"16088817",
+1992 => x"08718c12",
+1993 => x"0c88120c",
+1994 => x"577c5183",
+1995 => x"803f8816",
+1996 => x"54f59639",
+1997 => x"7284150c",
+1998 => x"f41af806",
+1999 => x"70841d08",
+2000 => x"81060784",
+2001 => x"1d0c701c",
+2002 => x"5556850b",
+2003 => x"84150c85",
+2004 => x"0b88150c",
+2005 => x"8f7627fd",
+2006 => x"ab38881b",
+2007 => x"527c51eb",
+2008 => x"e83f80d7",
+2009 => x"a80b8805",
+2010 => x"0880d6ec",
+2011 => x"085a55fd",
+2012 => x"93397880",
+2013 => x"d6ec0c73",
+2014 => x"80d79c0c",
+2015 => x"fbef3972",
+2016 => x"84150cfc",
+2017 => x"ff39fb3d",
+2018 => x"0d77707a",
+2019 => x"7c585553",
+2020 => x"568f7527",
+2021 => x"80e63872",
+2022 => x"76078306",
+2023 => x"517080dc",
+2024 => x"38757352",
+2025 => x"54707084",
+2026 => x"05520874",
+2027 => x"70840556",
+2028 => x"0c737170",
+2029 => x"84055308",
+2030 => x"71708405",
+2031 => x"530c7170",
+2032 => x"84055308",
+2033 => x"71708405",
+2034 => x"530c7170",
+2035 => x"84055308",
+2036 => x"71708405",
+2037 => x"530cf016",
+2038 => x"5654748f",
+2039 => x"26c73883",
+2040 => x"75279538",
+2041 => x"70708405",
+2042 => x"52087470",
+2043 => x"8405560c",
+2044 => x"fc155574",
+2045 => x"8326ed38",
+2046 => x"73715452",
+2047 => x"ff155170",
+2048 => x"ff2e9838",
+2049 => x"72708105",
+2050 => x"54337270",
+2051 => x"81055434",
+2052 => x"ff115170",
+2053 => x"ff2e0981",
+2054 => x"06ea3875",
+2055 => x"800c873d",
+2056 => x"0d04fb3d",
+2057 => x"0d777a71",
+2058 => x"028c05a3",
+2059 => x"05335854",
+2060 => x"54568373",
+2061 => x"2780d438",
+2062 => x"75830651",
+2063 => x"7080cc38",
+2064 => x"74882b75",
+2065 => x"07707190",
+2066 => x"2b075551",
+2067 => x"8f7327a7",
+2068 => x"38737270",
+2069 => x"8405540c",
+2070 => x"71747170",
+2071 => x"8405530c",
+2072 => x"74717084",
+2073 => x"05530c74",
+2074 => x"71708405",
+2075 => x"530cf014",
+2076 => x"5452728f",
+2077 => x"26db3883",
+2078 => x"73279038",
+2079 => x"73727084",
+2080 => x"05540cfc",
+2081 => x"13537283",
+2082 => x"26f238ff",
+2083 => x"135170ff",
+2084 => x"2e933874",
+2085 => x"72708105",
+2086 => x"5434ff11",
+2087 => x"5170ff2e",
+2088 => x"098106ef",
+2089 => x"3875800c",
+2090 => x"873d0d04",
+2091 => x"04047070",
+2092 => x"7070800b",
+2093 => x"80dfe40c",
+2094 => x"765184f3",
+2095 => x"3f800853",
+2096 => x"8008ff2e",
+2097 => x"89387280",
+2098 => x"0c505050",
+2099 => x"500480df",
+2100 => x"e4085473",
+2101 => x"802eef38",
+2102 => x"7574710c",
+2103 => x"5272800c",
+2104 => x"50505050",
+2105 => x"04f93d0d",
+2106 => x"797c557b",
+2107 => x"548e1122",
+2108 => x"70902b70",
+2109 => x"902c5557",
+2110 => x"80cfec08",
+2111 => x"53585683",
+2112 => x"f63f8008",
+2113 => x"57800b80",
+2114 => x"08249338",
+2115 => x"80d01608",
+2116 => x"80080580",
+2117 => x"d0170c76",
+2118 => x"800c893d",
+2119 => x"0d048c16",
+2120 => x"2283dfff",
+2121 => x"0655748c",
+2122 => x"17237680",
+2123 => x"0c893d0d",
+2124 => x"04fa3d0d",
+2125 => x"788c1122",
+2126 => x"70882a70",
+2127 => x"81065157",
+2128 => x"585674a9",
+2129 => x"388c1622",
+2130 => x"83dfff06",
+2131 => x"55748c17",
+2132 => x"237a5479",
+2133 => x"538e1622",
+2134 => x"70902b70",
+2135 => x"902c5456",
+2136 => x"80cfec08",
+2137 => x"525681b2",
+2138 => x"3f883d0d",
+2139 => x"04825480",
+2140 => x"538e1622",
+2141 => x"70902b70",
+2142 => x"902c5456",
+2143 => x"80cfec08",
+2144 => x"525782bb",
+2145 => x"3f8c1622",
+2146 => x"83dfff06",
+2147 => x"55748c17",
+2148 => x"237a5479",
+2149 => x"538e1622",
+2150 => x"70902b70",
+2151 => x"902c5456",
+2152 => x"80cfec08",
+2153 => x"525680f2",
+2154 => x"3f883d0d",
+2155 => x"04f93d0d",
+2156 => x"797c557b",
+2157 => x"548e1122",
+2158 => x"70902b70",
+2159 => x"902c5557",
+2160 => x"80cfec08",
+2161 => x"53585681",
+2162 => x"f63f8008",
+2163 => x"578008ff",
+2164 => x"2e99388c",
+2165 => x"1622a080",
+2166 => x"0755748c",
+2167 => x"17238008",
+2168 => x"80d0170c",
+2169 => x"76800c89",
+2170 => x"3d0d048c",
+2171 => x"162283df",
+2172 => x"ff065574",
+2173 => x"8c172376",
+2174 => x"800c893d",
+2175 => x"0d047070",
+2176 => x"70748e11",
+2177 => x"2270902b",
+2178 => x"70902c55",
+2179 => x"51515380",
+2180 => x"cfec0851",
+2181 => x"bd3f5050",
+2182 => x"5004fb3d",
+2183 => x"0d800b80",
+2184 => x"dfe40c7a",
+2185 => x"53795278",
+2186 => x"5182fc3f",
+2187 => x"80085580",
+2188 => x"08ff2e88",
+2189 => x"3874800c",
+2190 => x"873d0d04",
+2191 => x"80dfe408",
+2192 => x"5675802e",
+2193 => x"f0387776",
+2194 => x"710c5474",
+2195 => x"800c873d",
+2196 => x"0d047070",
+2197 => x"7070800b",
+2198 => x"80dfe40c",
+2199 => x"765184c9",
+2200 => x"3f800853",
+2201 => x"8008ff2e",
+2202 => x"89387280",
+2203 => x"0c505050",
+2204 => x"500480df",
+2205 => x"e4085473",
+2206 => x"802eef38",
+2207 => x"7574710c",
+2208 => x"5272800c",
+2209 => x"50505050",
+2210 => x"04fc3d0d",
+2211 => x"800b80df",
+2212 => x"e40c7852",
+2213 => x"775187b0",
+2214 => x"3f800854",
+2215 => x"8008ff2e",
+2216 => x"88387380",
+2217 => x"0c863d0d",
+2218 => x"0480dfe4",
+2219 => x"08557480",
+2220 => x"2ef03876",
+2221 => x"75710c53",
+2222 => x"73800c86",
+2223 => x"3d0d04fb",
+2224 => x"3d0d800b",
+2225 => x"80dfe40c",
+2226 => x"7a537952",
+2227 => x"7851848b",
+2228 => x"3f800855",
+2229 => x"8008ff2e",
+2230 => x"88387480",
+2231 => x"0c873d0d",
+2232 => x"0480dfe4",
+2233 => x"08567580",
+2234 => x"2ef03877",
+2235 => x"76710c54",
+2236 => x"74800c87",
+2237 => x"3d0d04fb",
+2238 => x"3d0d800b",
+2239 => x"80dfe40c",
+2240 => x"7a537952",
+2241 => x"78518293",
+2242 => x"3f800855",
+2243 => x"8008ff2e",
+2244 => x"88387480",
+2245 => x"0c873d0d",
+2246 => x"0480dfe4",
+2247 => x"08567580",
+2248 => x"2ef03877",
+2249 => x"76710c54",
+2250 => x"74800c87",
+2251 => x"3d0d0470",
+2252 => x"707080df",
+2253 => x"d8088938",
+2254 => x"80dfe80b",
+2255 => x"80dfd80c",
+2256 => x"80dfd808",
+2257 => x"75115252",
+2258 => x"ff537087",
+2259 => x"fb808026",
+2260 => x"88387080",
+2261 => x"dfd80c71",
+2262 => x"5372800c",
+2263 => x"50505004",
+2264 => x"fd3d0d80",
+2265 => x"0b80cfe0",
+2266 => x"08545472",
+2267 => x"812e9b38",
+2268 => x"7380dfdc",
+2269 => x"0cc2af3f",
+2270 => x"c1863f80",
+2271 => x"dfb05281",
+2272 => x"51c3ff3f",
+2273 => x"80085186",
+2274 => x"bf3f7280",
+2275 => x"dfdc0cc2",
+2276 => x"953fc0ec",
+2277 => x"3f80dfb0",
+2278 => x"528151c3",
+2279 => x"e53f8008",
+2280 => x"5186a53f",
+2281 => x"00ff39f5",
+2282 => x"3d0d7e60",
+2283 => x"80dfdc08",
+2284 => x"705b585b",
+2285 => x"5b7580c2",
+2286 => x"38777a25",
+2287 => x"a138771b",
+2288 => x"70337081",
+2289 => x"ff065858",
+2290 => x"59758a2e",
+2291 => x"98387681",
+2292 => x"ff0651c1",
+2293 => x"b03f8118",
+2294 => x"58797824",
+2295 => x"e1387980",
+2296 => x"0c8d3d0d",
+2297 => x"048d51c1",
+2298 => x"9c3f7833",
+2299 => x"7081ff06",
+2300 => x"5257c191",
+2301 => x"3f811858",
+2302 => x"e0397955",
+2303 => x"7a547d53",
+2304 => x"85528d3d",
+2305 => x"fc0551c0",
+2306 => x"b93f8008",
+2307 => x"5685b23f",
+2308 => x"7b80080c",
+2309 => x"75800c8d",
+2310 => x"3d0d04f6",
+2311 => x"3d0d7d7f",
+2312 => x"80dfdc08",
+2313 => x"705b585a",
+2314 => x"5a7580c1",
+2315 => x"38777925",
+2316 => x"b338c0ac",
+2317 => x"3f800881",
+2318 => x"ff06708d",
+2319 => x"32703070",
+2320 => x"9f2a5151",
+2321 => x"5757768a",
+2322 => x"2e80c438",
+2323 => x"75802ebf",
+2324 => x"38771a56",
+2325 => x"76763476",
+2326 => x"51c0aa3f",
+2327 => x"81185878",
+2328 => x"7824cf38",
+2329 => x"77567580",
+2330 => x"0c8c3d0d",
+2331 => x"04785579",
+2332 => x"547c5384",
+2333 => x"528c3dfc",
+2334 => x"0551ffbf",
+2335 => x"c53f8008",
+2336 => x"5684be3f",
+2337 => x"7a80080c",
+2338 => x"75800c8c",
+2339 => x"3d0d0477",
+2340 => x"1a598a79",
+2341 => x"34811858",
+2342 => x"8d51ffbf",
+2343 => x"e83f8a51",
+2344 => x"ffbfe23f",
+2345 => x"7756ffbe",
+2346 => x"39fb3d0d",
+2347 => x"80dfdc08",
+2348 => x"70565473",
+2349 => x"88387480",
+2350 => x"0c873d0d",
+2351 => x"04775383",
+2352 => x"52873dfc",
+2353 => x"0551ffbe",
+2354 => x"f93f8008",
+2355 => x"5483f23f",
+2356 => x"7580080c",
+2357 => x"73800c87",
+2358 => x"3d0d04fa",
+2359 => x"3d0d80df",
+2360 => x"dc08802e",
+2361 => x"a3387a55",
+2362 => x"79547853",
+2363 => x"8652883d",
+2364 => x"fc0551ff",
+2365 => x"becc3f80",
+2366 => x"085683c5",
+2367 => x"3f768008",
+2368 => x"0c75800c",
+2369 => x"883d0d04",
+2370 => x"83b73f9d",
+2371 => x"0b80080c",
+2372 => x"ff0b800c",
+2373 => x"883d0d04",
+2374 => x"f73d0d7b",
+2375 => x"7d5b59bc",
+2376 => x"53805279",
+2377 => x"51f5fb3f",
+2378 => x"80705657",
+2379 => x"98567419",
+2380 => x"70337078",
+2381 => x"2b790781",
+2382 => x"18f81a5a",
+2383 => x"58595558",
+2384 => x"847524ea",
+2385 => x"38767a23",
+2386 => x"84195880",
+2387 => x"70565798",
+2388 => x"56741870",
+2389 => x"3370782b",
+2390 => x"79078118",
+2391 => x"f81a5a58",
+2392 => x"59515484",
+2393 => x"7524ea38",
+2394 => x"76821b23",
+2395 => x"88195880",
+2396 => x"70565798",
+2397 => x"56741870",
+2398 => x"3370782b",
+2399 => x"79078118",
+2400 => x"f81a5a58",
+2401 => x"59515484",
+2402 => x"7524ea38",
+2403 => x"76841b0c",
+2404 => x"8c195880",
+2405 => x"70565798",
+2406 => x"56741870",
+2407 => x"3370782b",
+2408 => x"79078118",
+2409 => x"f81a5a58",
+2410 => x"59515484",
+2411 => x"7524ea38",
+2412 => x"76881b23",
+2413 => x"90195880",
+2414 => x"70565798",
+2415 => x"56741870",
+2416 => x"3370782b",
+2417 => x"79078118",
+2418 => x"f81a5a58",
+2419 => x"59515484",
+2420 => x"7524ea38",
+2421 => x"768a1b23",
+2422 => x"94195880",
+2423 => x"70565798",
+2424 => x"56741870",
+2425 => x"3370782b",
+2426 => x"79078118",
+2427 => x"f81a5a58",
+2428 => x"59515484",
+2429 => x"7524ea38",
+2430 => x"768c1b23",
+2431 => x"98195880",
+2432 => x"70565798",
+2433 => x"56741870",
+2434 => x"3370782b",
+2435 => x"79078118",
+2436 => x"f81a5a58",
+2437 => x"59515484",
+2438 => x"7524ea38",
+2439 => x"768e1b23",
+2440 => x"9c195880",
+2441 => x"705657b8",
+2442 => x"56741870",
+2443 => x"3370782b",
+2444 => x"79078118",
+2445 => x"f81a5a58",
+2446 => x"595a5488",
+2447 => x"7524ea38",
+2448 => x"76901b0c",
+2449 => x"8b3d0d04",
+2450 => x"e93d0d6a",
+2451 => x"80dfdc08",
+2452 => x"57577593",
+2453 => x"3880c080",
+2454 => x"0b84180c",
+2455 => x"75ac180c",
+2456 => x"75800c99",
+2457 => x"3d0d0489",
+2458 => x"3d70556a",
+2459 => x"54558a52",
+2460 => x"993dffbc",
+2461 => x"0551ffbb",
+2462 => x"c93f8008",
+2463 => x"77537552",
+2464 => x"56fd953f",
+2465 => x"bc3f7780",
+2466 => x"080c7580",
+2467 => x"0c993d0d",
+2468 => x"04fc3d0d",
+2469 => x"815480df",
+2470 => x"dc088838",
+2471 => x"73800c86",
+2472 => x"3d0d0476",
+2473 => x"5397b952",
+2474 => x"863dfc05",
+2475 => x"51ffbb92",
+2476 => x"3f800854",
+2477 => x"8c3f7480",
+2478 => x"080c7380",
+2479 => x"0c863d0d",
+2480 => x"0480cfec",
+2481 => x"08800c04",
+2482 => x"f73d0d7b",
+2483 => x"80cfec08",
+2484 => x"82c81108",
+2485 => x"5a545a77",
+2486 => x"802e80da",
+2487 => x"38818818",
+2488 => x"841908ff",
+2489 => x"0581712b",
+2490 => x"59555980",
+2491 => x"742480ea",
+2492 => x"38807424",
+2493 => x"b5387382",
+2494 => x"2b781188",
+2495 => x"05565681",
+2496 => x"80190877",
+2497 => x"06537280",
+2498 => x"2eb63878",
+2499 => x"16700853",
+2500 => x"53795174",
+2501 => x"0853722d",
+2502 => x"ff14fc17",
+2503 => x"fc177981",
+2504 => x"2c5a5757",
+2505 => x"54738025",
+2506 => x"d6387708",
+2507 => x"5877ffad",
+2508 => x"3880cfec",
+2509 => x"0853bc13",
+2510 => x"08a53879",
+2511 => x"51f8e53f",
+2512 => x"74085372",
+2513 => x"2dff14fc",
+2514 => x"17fc1779",
+2515 => x"812c5a57",
+2516 => x"57547380",
+2517 => x"25ffa838",
+2518 => x"d1398057",
+2519 => x"ff933972",
+2520 => x"51bc1308",
+2521 => x"54732d79",
+2522 => x"51f8b93f",
+2523 => x"707080df",
+2524 => x"b80bfc05",
+2525 => x"70085252",
+2526 => x"70ff2e91",
+2527 => x"38702dfc",
+2528 => x"12700852",
+2529 => x"5270ff2e",
+2530 => x"098106f1",
+2531 => x"38505004",
+2532 => x"04ffbaff",
+2533 => x"3f040000",
2534 => x"00000040",
2535 => x"476f7420",
2536 => x"696e7465",
OpenPOWER on IntegriCloud