summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/src/io.vhd
diff options
context:
space:
mode:
authoroharboe <oharboe>2008-05-04 19:29:07 +0000
committeroharboe <oharboe>2008-05-04 19:29:07 +0000
commit1362bd4ace3ce962ed744a153e5f969154bb6682 (patch)
tree0b01b48171f661f1eea5741c4b2086f5e49692f7 /zpu/hdl/zpu4/src/io.vhd
parented14271c9743490ebc4947ba7904adaa0d16e279 (diff)
downloadzpu-1362bd4ace3ce962ed744a153e5f969154bb6682.zip
zpu-1362bd4ace3ce962ed744a153e5f969154bb6682.tar.gz
* Make code synthesize on Synopsis
zpu/hdl/zpu4/src/zpu_core_small.vhd zpu/hdl/zpu4/src/io.vhd
Diffstat (limited to 'zpu/hdl/zpu4/src/io.vhd')
-rw-r--r--zpu/hdl/zpu4/src/io.vhd15
1 files changed, 9 insertions, 6 deletions
diff --git a/zpu/hdl/zpu4/src/io.vhd b/zpu/hdl/zpu4/src/io.vhd
index 7a2601f..9e65929 100644
--- a/zpu/hdl/zpu4/src/io.vhd
+++ b/zpu/hdl/zpu4/src/io.vhd
@@ -59,8 +59,9 @@ begin
elsif (clk'event and clk = '1') then
-- timer_we <= '0';
if writeEnable = '1' then
- -- external interface
- if addr=x"2028003" then
+ -- external interface (fixed address)
+ --<JK> extend compare to avoid waring messages
+ if ("000" & addr)=x"2028003" then
-- Write to UART
-- report "" & character'image(conv_integer(memBint)) severity note;
print(l_file, character'val(to_integer(unsigned(write))));
@@ -69,24 +70,26 @@ begin
-- timer_we <= '1';
else
print(l_file, character'val(to_integer(unsigned(write))));
- report "Illegal IO write" severity warning;
+ -- report "Illegal IO write" severity warning;
end if;
end if;
read <= (others => '0');
if (readEnable = '1') then
- if addr=x"1001" then
+ --<JK> extend compare to avoid waring messages
+ if ("000" & addr)=x"0001001" then
read <= (0=>'1', others => '0'); -- recieve empty
elsif addr(12)='1' then
read(7 downto 0) <= timer_read;
elsif addr(11)='1' then
read(7 downto 0) <= ZPU_Frequency;
- elsif addr=x"2028003" then
+ --<JK> extend compare to avoid waring messages
+ elsif ("000" & addr)=x"2028003" then
read <= (others => '0');
else
read <= (others => '0');
read(8) <= '1';
- report "Illegal IO read" severity warning;
+ -- report "Illegal IO read" severity warning;
end if;
end if;
end if;
OpenPOWER on IntegriCloud