summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/core/zpupkg.vhd
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2011-06-09 17:59:07 +0200
committerBert Lange <b.lange@hzdr.de>2011-08-02 13:35:26 +0200
commitb9ad801c86a4bf2f37be4972737b9c401335a49c (patch)
tree7b664e55dec382af385185674962769abf1a12e0 /zpu/hdl/zpu4/core/zpupkg.vhd
parente6d9de6465aecf64a53b941bf80830280e95c040 (diff)
downloadzpu-b9ad801c86a4bf2f37be4972737b9c401335a49c.zip
zpu-b9ad801c86a4bf2f37be4972737b9c401335a49c.tar.gz
change: rename signal areset to reset
Diffstat (limited to 'zpu/hdl/zpu4/core/zpupkg.vhd')
-rw-r--r--zpu/hdl/zpu4/core/zpupkg.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/zpu4/core/zpupkg.vhd b/zpu/hdl/zpu4/core/zpupkg.vhd
index a6e749d..0363aca 100644
--- a/zpu/hdl/zpu4/core/zpupkg.vhd
+++ b/zpu/hdl/zpu4/core/zpupkg.vhd
@@ -110,7 +110,7 @@ package zpupkg is
component zpu_core is
port (
clk : in std_logic;
- areset : in std_logic;
+ reset : in std_logic;
enable : in std_logic;
in_mem_busy : in std_logic;
mem_read : in std_logic_vector(wordSize-1 downto 0);
OpenPOWER on IntegriCloud