summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zpu4/core/zpu_core_small.vhd
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2011-06-09 17:54:03 +0200
committerBert Lange <b.lange@hzdr.de>2011-06-09 17:54:03 +0200
commit509095f846aa8b2393ab7bf974eb2932846b950f (patch)
tree216c67f5bf8449f2b9c02567f3e8bc6a0edde466 /zpu/hdl/zpu4/core/zpu_core_small.vhd
parentf54a7949bc551f4a4ecc20728453cfe09ae65aed (diff)
downloadzpu-509095f846aa8b2393ab7bf974eb2932846b950f.zip
zpu-509095f846aa8b2393ab7bf974eb2932846b950f.tar.gz
fix: missing comment
Diffstat (limited to 'zpu/hdl/zpu4/core/zpu_core_small.vhd')
-rw-r--r--zpu/hdl/zpu4/core/zpu_core_small.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/zpu/hdl/zpu4/core/zpu_core_small.vhd b/zpu/hdl/zpu4/core/zpu_core_small.vhd
index 757d056..b975977 100644
--- a/zpu/hdl/zpu4/core/zpu_core_small.vhd
+++ b/zpu/hdl/zpu4/core/zpu_core_small.vhd
@@ -308,7 +308,7 @@ begin
when others =>
sampledDecodedOpcode <= Decoded_Nop;
end case; -- tOpcode(3 downto 0)
- end if; tOpcode
+ end if; -- tOpcode
end process;
OpenPOWER on IntegriCloud