summaryrefslogtreecommitdiffstats
path: root/zpu/hdl/zealot/devices
diff options
context:
space:
mode:
authorBert Lange <b.lange@hzdr.de>2011-10-28 11:25:31 +0200
committerBert Lange <b.lange@hzdr.de>2011-10-28 11:25:31 +0200
commit221eb9f6525789d20f2895b2de775bf345cf97cb (patch)
treed2de23511f1579d1170c61665c13ea68d413cf0c /zpu/hdl/zealot/devices
parent662a6952bc04419ac063cf3eb2b5917978eec0a1 (diff)
downloadzpu-221eb9f6525789d20f2895b2de775bf345cf97cb.zip
zpu-221eb9f6525789d20f2895b2de775bf345cf97cb.tar.gz
minor fix: reduce simulation warnings at 0 ps
Diffstat (limited to 'zpu/hdl/zealot/devices')
-rw-r--r--zpu/hdl/zealot/devices/phi_io.vhdl4
-rw-r--r--zpu/hdl/zealot/devices/timer.vhdl2
2 files changed, 3 insertions, 3 deletions
diff --git a/zpu/hdl/zealot/devices/phi_io.vhdl b/zpu/hdl/zealot/devices/phi_io.vhdl
index 71e881c..6e40d1d 100644
--- a/zpu/hdl/zealot/devices/phi_io.vhdl
+++ b/zpu/hdl/zealot/devices/phi_io.vhdl
@@ -135,7 +135,7 @@ begin
data_o => timer_read);
busy_o <= we_i or re_i;
- is_timer <= '1' when addr_i=CNT_1 or addr_i=CNT_2 else '0'; -- 0x80A0014/8
+ is_timer <= '1' when to_01(addr_i)=CNT_1 or to_01(addr_i)=CNT_2 else '0'; -- 0x80A0014/8
timer_we <= we_i and is_timer;
----------
@@ -186,7 +186,7 @@ begin
port_out => gpio_out, -- : std_logic_vector(31 downto 0);
port_dir => gpio_dir -- : std_logic_vector(31 downto 0);
);
- is_gpio <= '1' when addr_i = IO_DATA or addr_i = IO_DIR else '0'; -- 0x80A0004/8
+ is_gpio <= '1' when to_01(addr_i) = IO_DATA or to_01(addr_i) = IO_DIR else '0'; -- 0x80A0004/8
gpio_we <= we_i and is_gpio;
diff --git a/zpu/hdl/zealot/devices/timer.vhdl b/zpu/hdl/zealot/devices/timer.vhdl
index f485e4d..389868c 100644
--- a/zpu/hdl/zealot/devices/timer.vhdl
+++ b/zpu/hdl/zealot/devices/timer.vhdl
@@ -85,7 +85,7 @@ begin
end if; -- rising_edge(clk_i)
end process do_timer;
- data_o <= cnt_smp(31 downto 0) when addr_i="0" else
+ data_o <= cnt_smp(31 downto 0) when to_01(addr_i)="0" else
cnt_smp(63 downto 32);
end architecture Behave; -- Entity: Timer
OpenPOWER on IntegriCloud